tpb has quit [Remote host closed the connection]
tpb has joined #symbiflow
futarisIRCcloud has joined #symbiflow
<wallacejohn> ZirconiumX, mithro, hackerfoo: Thanks for the input on my first pull request; kmurray accepted it, and I've already submitted a second PR with more converted scripts. A couple of additional questions:
<wallacejohn> I found Issue #16 under SymbiFlow/ideas (convert VtR Perl scripts to Python) and am interested in taking this on after finishing the 2to3 conversions. It looks like this issue hasn't been mentioned in almost two years -- is this still an active/relevant issue?
<wallacejohn> Also, I'm considering submitting a proposal for a GSoC project with you guys and am interested in SymbiFlow/ideas Issue #18 (Python BLIF/eBLIF library). Same question -- is this still relevant after two years of inactivity? Is the scope of this issue reasonable for a GSoC summer project?
<mithro> wallacejohn: Great work!
<mithro> wallacejohn: The Python BLIF / eBLIF library is still *currently* relevant, but it might become less relevant if our work on the Capn'Proto file format happens
<mithro> wallacejohn: You are also welcome to propose your own ideas / projects -- the most successful students tend to be the ones who take the time to find something they are super excited to build and just happens to also fit something we are interested in.
<mithro> wallacejohn: Did you find https://symbiflow.github.io/summer-of-code ?
<tpb> Title: SymbiFlow - the GCC of FPGAs (at symbiflow.github.io)
<mithro> wallacejohn: You might also want to talk to kgugala about the vtr-flow conversion idea -- I believe they were working on doing a distributed version of it at https://github.com/antmicro/vtr-flow-distant
<tpb> Title: GitHub - antmicro/vtr-flow-distant (at github.com)
<tpb> Title: GitHub - antmicro/distant-rec (at github.com)
<mithro> wallacejohn: Also updated an existing issue with more content / links / etc is always a good idea
<mithro> wallacejohn: If your interest is file formats -- you might also be interested in https://docs.google.com/document/d/143ZHhMqAlA5EeVYRP6dUGUZJtsfgbZv_cC-wqvKeOcI/edit
<tpb> Title: FPGA Tooling Common Interchange Formats - Google Docs (at docs.google.com)
<wallacejohn> mithro: Thanks for the links; yes, I found the SymbiFlow GSoC page. I have a wide array of interests and generally find it more difficult to narrow my focus, so I figured the ideas page would be a good place to start. I'll spend some time exploring those links. I do find file/data formats pretty interesting and would like to get involved in a related project as a means to learn more about the topic.
Degi_ has joined #symbiflow
Degi has quit [Ping timeout: 250 seconds]
Degi_ is now known as Degi
citypw has joined #symbiflow
wallacejohn has quit [Remote host closed the connection]
_whitelogger has joined #symbiflow
_whitelogger has joined #symbiflow
Bertl_oO is now known as Bertl_zZ
_whitelogger has joined #symbiflow
musazal has joined #symbiflow
_whitelogger has joined #symbiflow
_florent_ has quit [Ping timeout: 256 seconds]
brent has quit [Ping timeout: 256 seconds]
digshadow has quit [Ping timeout: 256 seconds]
nickray has quit [Ping timeout: 272 seconds]
daveshah has quit [Ping timeout: 272 seconds]
digshadow has joined #symbiflow
pdp7 has quit [Ping timeout: 240 seconds]
brent has joined #symbiflow
_florent_ has joined #symbiflow
daveshah has joined #symbiflow
nickray has joined #symbiflow
pdp7 has joined #symbiflow
musazal has quit [Ping timeout: 240 seconds]
clay_1 has joined #symbiflow
musazal has joined #symbiflow
<musazal> Hope you are all doing fine. I'm a third year Electrical Engineering student with interest in Computer Architecture and RISCV. Previously, I've done made a custom RV32I core in verilog for the last summer project and I'm interested in adding the f-extension. For now, I'm trying to work in SystemVerilog so that it can be moved further towards
<musazal> verification. So, is there any project for GSoC that I can take part in keeping in mind background that I currently have. Any advice will be really appreciated.
futarisIRCcloud has quit [Quit: Connection closed for inactivity]
_whitelogger has joined #symbiflow
clay_1 has quit [Ping timeout: 240 seconds]
musazal has quit [Remote host closed the connection]
mkru has joined #symbiflow
futarisIRCcloud has joined #symbiflow
Bertl_zZ is now known as Bertl
mkru has quit [Quit: Leaving]
<sf-slack> <adityasaini70> Hello everyone ! I'm an Electronics and Communication sophomore at IIITD, India. I'm familiar with Xilinx 7series FPGA architecture and have done a couple of projects with the Xilinx Artix-7 development board. I'm a GSOC aspirant and I wanted to talk about contributing to Project X-Ray. Can anybody help ??
<ZirconiumX> adityasaini70: hello, I can try my best
<ZirconiumX> If you go back through the logs, there have been a fair few ideas thrown around, but it's important to note that there is much more to Symbiflow than just prjxray
Bertl is now known as Bertl_oO
OmniMancer1 has quit [Quit: Leaving.]
alexhw_ has quit [Quit: https://quassel-irc.org - Chat comfortably. Anywhere.]
alexhw has joined #symbiflow
acomodi has joined #symbiflow
_whitelogger has quit [Remote host closed the connection]
_whitelogger_ has joined #symbiflow
clay_1 has joined #symbiflow
<clay_1> Good morning everyone !
<Degi> I've written a rough summary for the ECP5 PCIe https://github.com/x44203/ECP5-PCIe
<tpb> Title: GitHub - x44203/ECP5-PCIe: ECP5 to PCIe interface development (at github.com)
wallacejohn has joined #symbiflow
boyancy_z has joined #symbiflow
boyancy_z has quit [Read error: Connection reset by peer]
boyancy_z has joined #symbiflow
wallacejohn has quit [Ping timeout: 246 seconds]
boyancy_z has quit [Ping timeout: 250 seconds]
citypw has quit [Ping timeout: 250 seconds]
clay_1 has quit [Remote host closed the connection]
<hackerfoo> Good morning
<sf-slack> <acomodi> :q
<sf-slack> <acomodi> Sorry, wrong window
musazal has joined #symbiflow
musazal has quit [Remote host closed the connection]
<_whitenotifier-3> [symbiflow.github.io] mithro opened issue #3: Broken link to student guide on Summer of Code page - https://git.io/Jv1NU
celadon_ has joined #symbiflow
celadon has quit [Ping timeout: 240 seconds]
OmniMancer has joined #symbiflow
<mithro> QotD: "The syntax diagnostics from the compiler made by Sue Graham's group at Berkeley were the most helpful I have ever seen - and they were generated automatically. At a syntax error the compiler would suggest a token that could be inserted that would allow parsing to proceed further. No attempt was made to explain what was wrong. The compiler taught me Pascal in an evening, with no manual at hand." -- Doug McIlroy -
<tpb> Title: [TUHS] The most surprising Unix programs (at minnie.tuhs.org)
acomodi has quit [Quit: Connection closed for inactivity]
<lambda> daveshah: when trying to pnr litedram, I get "ERROR: IDELAYE2 'dram.litedram_inst.IDELAYE2' has IDATAIN input connected to illegal cell type IOBUF" - which, to be fair, it is, but I'm assuming that's supposed to legal or it wouldn't work for anyone.
<daveshah> lambda: it sounds like the IOBUF isn't being split properly
<daveshah> I'll take a look tomorrow if you can provide a netlist
<lambda> daveshah: sure thing, thanks a lot :)
<daveshah> I guess this comes from the most recent litedram change to make DQS inout
<lambda> the signal in question it's complaining about is dq[0], but it'll probably be the same for dqs then
<daveshah> Oh no it won't be from that then, no idea what it would be
<lambda> (rebuilding with latest litedram right now)
<daveshah> I'm pretty sure this is a Yosys or nextpnr issue
<lambda> even if, I don't want to be the one bothering people about bugs that are already fixed ;)