tpb has quit [Remote host closed the connection]
tpb has joined #symbiflow
<sf-slack> <timo.callahan> @kgugala @pzierhoffer, do you know if the tflite zephyr magic wand demo has been tested on the Arty board at your end recently? I tried going through the demo again just now -- the Renode sim worked as expected, but so far I haven't been able to get any reaction out of the actual HW board, not even "Angle" (L) shape. That *did* work for me the first time I went through the demo, a couple of months ago. Of
<sf-slack> course my accelerometer might have gone bad or something like that. But just wondering if you'd tested on an Arty board recently. Thanks!
<sf-slack> <timo.callahan> P.S. I do get the "4 bytes lost due to alignment...." and "Got accelerometer..." messages, just nothing after that from the board.
kuldeep has quit [Ping timeout: 246 seconds]
synaption[m] has quit [Ping timeout: 246 seconds]
promach3 has quit [Ping timeout: 246 seconds]
promach3 has joined #symbiflow
synaption[m] has joined #symbiflow
kuldeep has joined #symbiflow
gsmecher has quit [Ping timeout: 260 seconds]
craigo has quit [Ping timeout: 256 seconds]
_whitelogger has joined #symbiflow
Degi has quit [Ping timeout: 260 seconds]
Degi has joined #symbiflow
<sf-slack> <timo.callahan> @kgugala I did find a bug in Edalize around passing synth options to Yosys. I'll report it on their github.
ZipCPU has quit [Excess Flood]
ZipCPU has joined #symbiflow
craigo has joined #symbiflow
<sf-slack> <kgugala> @timo.callahan are you talking about the bug from Wednesday? I already fixed it and opened a PR in edalize
<sf-slack> <kgugala> as for the demo - it is important to hold the board correctly so the accelerometer axis are oriented as the neural network model expects
<sf-slack> <timo.callahan> @kgugala Ah, I see the PR at edalize, thank you!
OmniMancer1 has joined #symbiflow
OmniMancer has quit [Ping timeout: 240 seconds]
OmniMancer1 has quit [Ping timeout: 256 seconds]
OmniMancer has joined #symbiflow
OmniMancer1 has joined #symbiflow
OmniMancer has quit [Ping timeout: 246 seconds]
duck26 is now known as duck2
futarisIRCcloud has quit [Quit: Connection closed for inactivity]
kraiskil has joined #symbiflow
tnt has joined #symbiflow
<tnt> So, I've been trying to build the quicklogic toolchain for the past 4hours or so. I don't want conda anywhere near me so I added -DUSE_CONDA=false. Also it seems a lot of the dependencies are only needed for XC7 which I couldn't care less about so I've tried stripping most of theses. And finally I don't want anything to do with nodejs either which I'm hoping is optional.
<tnt> Now I'm hit with "PYTHON3_TARGET is empty for target env, check target definition." and I'm not even sure what PYTHON3_TARGET is supposed to store.
lopsided98 has quit [Remote host closed the connection]
ovf[m] has joined #symbiflow
lopsided98 has joined #symbiflow
ovf[m] is now known as olegfink
olegfink has quit [Quit: authenticating]
olegfink has joined #symbiflow
OmniMancer has joined #symbiflow
OmniMancer1 has quit [Ping timeout: 260 seconds]
kraiskil has quit [Ping timeout: 260 seconds]
<tnt> Huh so after many efforts, the 'make file_build_quicklogic_techmap_cells_sim.v' worked ... and generated ./techmap/cells_sim.v ...
<tnt> and then what ?
kraiskil has joined #symbiflow
kraiskil has quit [Ping timeout: 246 seconds]
craigo has quit [Ping timeout: 272 seconds]
<shapr> daveshah: I'm sad to hear about lattice trying to prevent reverse engineering, is there some effort to describe to them how much that will hurt their business?
kraiskil has joined #symbiflow
futarisIRCcloud has joined #symbiflow
<shapr> is there some effort to create an explicit open-ness agreement with an FPGA vendor?
<shapr> I'd expect Intel to open up Altera completely
citypw has joined #symbiflow
<HackerFoo> tnt: This might help with `-DUSE_CONDA=FALSE`: https://github.com/HackerFoo/nix-symbiflow/blob/master/patches/symbiflow-arch-defs.patch
<tpb> Title: nix-symbiflow/symbiflow-arch-defs.patch at master · HackerFoo/nix-symbiflow · GitHub (at github.com)
citypw has quit [Ping timeout: 240 seconds]
kgugala_ has joined #symbiflow
kgugala__ has joined #symbiflow
kgugala has quit [Ping timeout: 272 seconds]
kgugala_ has quit [Ping timeout: 260 seconds]
kraiskil has quit [Ping timeout: 246 seconds]
<tnt> HackerFoo: yeah, I managed to get it to build (with way more hacking than that to remove all the dependencies that are not needed for quicklogic) ... but something still fails and the generated xml is somehow invalid https://pastebin.com/raw/c4Mn2ixM
<sf-slack> <kgugala> tnt: which repo did you use? What exactly did you run?
<tnt> kgugala: quicklogic/quicklogic-upstream-rebase branch quicklogic/quicklogic-upstream-rebase (which is also tag v0.1.0)
<tpb> Title: GitHub - QuickLogic-Corp/symbiflow-arch-defs at quicklogic-upstream-rebase (at github.com)
<tnt> cmake .. -DCMAKE_INSTALL_PREFIX=/my/prefix -DUSE_CONDA=false
<tpb> Title: symbiflow-arch-defs/quicklogic at quicklogic-upstream-rebase · QuickLogic-Corp/symbiflow-arch-defs · GitHub (at github.com)
<sf-slack> <kgugala> it still assumes conda for getting VPR (and some other tools)
<tnt> No because I don't want conda
<sf-slack> <kgugala> It's hard to tell why the xml is incorrect in your case
<tnt> I also don't want nodejs or any of the dependencies that are just needed for the ice40 or the xilinx support ...
<sf-slack> <kgugala> since you do not use the dependencies from conda this could easily be a version issue
<tpb> Title: 2336,2339c2336,2339 < < (at pastebin.com)
<tnt> Here's a snippet of difference between the xml generated and the one extracted from the binary distribution.
<sf-slack> <kgugala> if you simplly run make all_conda it will put evertyhing in build/conda directory and will not pollute your system
<sf-slack> <kgugala> later you can simply remove that
gsmecher has joined #symbiflow
FFY00 has quit [Remote host closed the connection]
FFY00 has joined #symbiflow
kgugala__ has quit [Ping timeout: 246 seconds]
kgugala has joined #symbiflow
az0re has quit [Remote host closed the connection]
<HackerFoo> tnt: If you use Nix, you could try https://github.com/HackerFoo/nix-symbiflow
<tpb> Title: GitHub - HackerFoo/nix-symbiflow: Nix packages for SymbiFlow projects and dependencies (at github.com)
<HackerFoo> Otherwise, you need to make sure you have the right dependencies. The biggest one is getting the right VPR.
<HackerFoo> All the dependencies are documented in a fairly readable form in https://github.com/HackerFoo/nix-symbiflow/blob/master/default.nix
<tpb> Title: nix-symbiflow/default.nix at master · HackerFoo/nix-symbiflow · GitHub (at github.com)
<tnt> I have the right VPR. Same git commit.
<tnt> ATM it seems like yosys is the issue ...
<tnt> The f_frag.model.xml that's generated from the f_frag.sim.v is invalid.
futarisIRCcloud has quit [Quit: Connection closed for inactivity]
kraiskil has joined #symbiflow
kraiskil has quit [Ping timeout: 260 seconds]
<tpb> Title: command ======================================================================= - Pastebin.com (at pastebin.com)
<tnt> That's the difference ...
<tnt> The yosys I'm using is a merged version of master with the quicklogic branch (because I need the latest fixes for ice40/ecp5). there was no conflict, clean rebase but it's obviously broken.
<tnt> err, wrong paste but same idea https://pastebin.com/yeBaSQ8L
<tpb> Title: command ======================================================================= - Pastebin.com (at pastebin.com)
az0re has joined #symbiflow
futarisIRCcloud has joined #symbiflow
<tnt> It's the (* whitebox *)
<tnt> in the source .v that mess up newer yosys ... or at least makes it behave in a way that doesn't work.
<sf-slack> <kgugala> I'm afraid there will be more problems with mainline yosys
<sf-slack> <kgugala> the V2X (xml arch generation from verilog models) requires a few features not yet merged to mainline
<sf-slack> <kgugala> they are present in symbiflow's fork
<tnt> it's not mainline, it's the quicklogic branch merged with master.
epony has quit [Ping timeout: 258 seconds]
<sf-slack> <kgugala> so this one may actually work
<tnt> The quick logic branch is a bunhc of patch above c9555c9adeba886a308c60615ac794ec20d9276e which is from 3 months ago. So what I'm using is just pretty much all the patch above that rebased on today's master.
<sf-slack> <kgugala> unless nothing else broke
<tnt> well it "almost" does ...except that the (*whitebox*) attribute seem to prevent yosys from "selecting" stuff in that module (which means v2x fails to discover the clocked and comb path ) see pastebin above
<sf-slack> <kgugala> actually whitebox should act the oppsite - Yosys should be able to get inside the module and figure out the internals
<sf-slack> <kgugala> is this the only file that fails?
<tnt> No, it's just the first
<sf-slack> <kgugala> as far as I remember there were a lot of whiteboxes
<tnt> Oh yeah, I'm pretty sure it fails for all of them.
<sf-slack> <kgugala> so removing the attributes may get you a little bit further, but I doubt it will work
<sf-slack> <kgugala> whitebox attribute handling is gereic Yosys functionality (not related to QL specific changes)
<daveshah> I think there was a way added of selecting inside whiteboxes
<daveshah> > By default, patterns will not match black/white-box modules or theircontents. To include such objects, prefix the pattern with '='.
<daveshah> would be needed in the scripts for new Yosys
<tnt> daveshah: Oh, interesting.
<tnt> Of course just replaceing F1 with =F1 wasn't good enough. That got rid of "Warning: Selection "F1" did not match any object." but the selection result (as written to file) is empty.
epony has joined #symbiflow
<tnt> got it ... maybe https://pastebin.com/V16dVPWP
<tpb> Title: [Diff] diff --git a/v2x/yosys/run.py b/v2x/yosys/run.py index ef2627e..b6f3746 100755 - - Pastebin.com (at pastebin.com)
<tnt> Well that got it further .... now it just seems to hang on "Generating rr_graph_ql-eos-s3_wlcsp.rr_graph.real.patched.xml.cache, rr_graph_ql-eos-s3_wlcsp.rr_graph.real.bin, rr_graph_ql-eos-s3_wlcsp.place_delay.bin"
<sf-slack> <kgugala> this takes some time
<sf-slack> <kgugala> but you need to do this once, later the toolchain will use the generated files
<tnt> ok, letting it run. I just see vpr taking 100% of cpu and not printing anything to vpr_stdout.log atm.
<tnt> Ah yeah, it completed successfully it seems.
<tnt> trying the bin2seven now
<tnt> I'm a bit worried about the time it takes to build a 93 lines verilog file though.
<sf-slack> <kgugala> if you're running it from arch-defs it takes longer
<sf-slack> <kgugala> binary toolchain takes ~40 seconds to synth, pack, place and route and generate the bitstream
<sf-slack> <kgugala> (for such design)
<tnt> Ok, it did build sucessfully (well without errors, I have no idea if the result is valid).
<sf-slack> <kgugala> you'd have to program the FPGA
<tnt> Don't have one, so can't do that :p
<tnt> There seem to be a load of warning like "Warning 1: Model 'ASSP' input port 'WBs_RD_DAT' has no timing specification (no clock specified to create a sequential input port, not combinationally connected to any outputs, not a clock input)
<tnt> is that expected ?
<sf-slack> <kgugala> or run bin2seven_bit_v target and simulate the resulting verilog
<sf-slack> <kgugala> this one decompiles the bitstream and generates a structural verilog from it
<sf-slack> <kgugala> as for the warining - yes it is expected
<sf-slack> <kgugala> (for now)
<tnt> So I guess no timing analysis for the RAM or the wishbone bridge ?
<sf-slack> <kgugala> RAM timings are in progress here https://github.com/antmicro/symbiflow-arch-defs/tree/ram_timings
<tpb> Title: GitHub - antmicro/symbiflow-arch-defs at ram_timings (at github.com)
<sf-slack> <kgugala> ASSP (Hard CPU with the WB bridge) is next
<tnt> Ok great. First thing I'd like to do is port my USB core to it ... so RAM and cpu bridge are kind of important :p
<sf-slack> <kgugala> indeed
<sf-slack> <kgugala> :)
<tnt> mm, something is still broken somewhere in the install ... I see "-- Installing: /opt/openfpga/vtr/share/arch/ql-eos-s3_wlcsp/pinmap.csv" 4 times during make install
<tnt> So it's overwriting each pinmap over the same destination which is ... not what's supposed to happen I guess.
<sf-slack> <kgugala> I don't think this is a real problem - it wants to install the pin config for every board we degined in the arch-defs
<sf-slack> <kgugala> all of them have the same chip package
<sf-slack> <kgugala> so even if it is everwting sth - it should not break anything
<sf-slack> <kgugala> but I'll check that
<tnt> In the binary distribution I see PU64_pinmap.csv / chandalar_pinmap.csv / PD64_pinmap.csv / WR42_pinmap.csv
<sf-slack> <kgugala> the binary disro was created from arch-defs
<sf-slack> <kgugala> I'll definitely have to check this
<sf-slack> <kgugala> thanks for pointing
kuldeep has quit [Read error: Connection reset by peer]
gsmecher has quit [Ping timeout: 246 seconds]
FFY00 has quit [Remote host closed the connection]
FFY00 has joined #symbiflow
FFY00 has quit [Remote host closed the connection]
OmniMancer has quit [Quit: Leaving.]
ryancj14 has joined #symbiflow
gsmecher has joined #symbiflow
andrewb1999 has joined #symbiflow
<andrewb1999> Has anyone seen this error with VPR placement before? : place_macro.cpp:135 find_all_the_macro: Assertion 'cluster_ctx.clb_nlist.net_sinks(curr_net_id).size() == 1' failed.
andrewb1999 has quit [Remote host closed the connection]
az0re has quit [Ping timeout: 240 seconds]
FFY00 has joined #symbiflow
<_whitenotifier-f> [sv-tests] wsnyder opened issue #853: New uvm-agent tests are not legal; need UVM first - https://git.io/Jf16Z
FFY00 has quit [Remote host closed the connection]
FFY00 has joined #symbiflow
craigo has joined #symbiflow