tpb has quit [Remote host closed the connection]
tpb has joined #symbiflow
srt has joined #symbiflow
srt has quit [Ping timeout: 240 seconds]
andrewb1999 has joined #symbiflow
yeti has quit [Ping timeout: 258 seconds]
yeti has joined #symbiflow
srt has joined #symbiflow
srt has quit [Ping timeout: 260 seconds]
Degi has quit [Ping timeout: 264 seconds]
Degi_ has joined #symbiflow
Degi_ is now known as Degi
<andrewb1999> litghost/mithro: When creating my own synth IOs everything runs through generating the patched.bin, but then when generating the real.bin VPR prints out millions of warnings about no routing path and then crashes on a negative criticality error.
<andrewb1999> Any advice on why creating synth IOs at places other than VBRKs would cause this issue? I belive that's the only thing I am changing
<andrewb1999> If not, i'll get more info and post an issue
<litghost> More info is needed
<litghost> I suspect your graph limit and used wires are the likely problems
<litghost> Negative criticality indicates a timing issue, which should be investigated
<andrewb1999> Ok I'll get more info and post an issue. In a more general question, what limitation is there on what wires can be used for synth IOs relative to the graph limit?
<andrewb1999> Is it just that they have to touch to boundary?
<_whitenotifier-f> [symbiflow-arch-defs] tcal-x opened issue #1546: fasm2bels: max recursion depth exceeded, make_routes.py, xc7a100t, soc/litex/base - https://git.io/JfplC
<daniellimws> mithro: What do you mean by status?
rvalles_ has joined #symbiflow
rvalles has quit [Ping timeout: 264 seconds]
<mithro> daniellimws: The packages are in a state of flux around naming
<daniellimws> mithro: which ones?
<mithro> http://j.mp/edda-status & bit.ly/edda-conda-eda-spec -- I think
<tpb> Title: EDDA Package Status (EDA Tools Conda Packages) - j.mp/edda-status - Google Sheets (at j.mp)
<daniellimws> mithro: You mean unstandardized namings?
srt has joined #symbiflow
<mithro> daniellimws: I think yosys package is becoming the upstream git yosys and symbiflow-yosys package becoming https://github.com/SymiFlow/yosys
srt has quit [Ping timeout: 244 seconds]
<mithro> and eventually the patches to SymbiFlow/yosys should be going away....
andrewb1999 has quit [Ping timeout: 246 seconds]
<mithro> @daniellimws: But I don't know the current status of the migration -- kgugla might know
<daniellimws> oh ok
<mithro> @daniellimws -- I think we should also investigate using whitequark's Yosys in WASM package too
<_whitenotifier-f> [sphinxcontrib-verilog-diagrams] mithro opened issue #40: Investigate if we can use the Yosys uploaded to PyPi - https://git.io/Jfp8N
kraiskil has joined #symbiflow
<daniellimws> Can't seem to find any examples in the repo
<mithro> daniellimws: Of?
<daniellimws> How to use nmigen_yosys
<mithro> daniellimws: Your too quick - I hadn't finished adding more links
<tpb> Title: Investigate if we can use the Yosys uploaded to PyPi · Issue #40 · SymbiFlow/sphinxcontrib-verilog-diagrams · GitHub (at github.com)
<mithro> daniellimws: https://yowasp.github.io/
<tpb> Title: YoWASP | Unofficial WebAssembly-based packages for Yosys, nextpnr, and more (at yowasp.github.io)
<mithro> daniellimws: Might also be worth asking whitequark in #nmigen
<daniellimws> Ah ok
<mithro> daniellimws: Also yowasp was also only released today -- so :-)
<daniellimws> Looks really cool
<tpb> Title: With no-license should have a way to display the skipped output · Issue #36 · SymbiFlow/sphinxcontrib-verilog-diagrams · GitHub (at github.com)
<daniellimws> mithro: Yup saw it but feels like gonna be troublesome to implement :P Need to make sure it also works well with other themes?
<daniellimws> At least the default theme
<mithro> @daniellimws -- There are only 2 that I care about - The symbiflow material design one and the default readthedocs one
citypw has joined #symbiflow
<daniellimws> Alright I'll give it a try these few days
kraiskil has quit [Ping timeout: 264 seconds]
srt has joined #symbiflow
srt has quit [Ping timeout: 240 seconds]
srt has joined #symbiflow
srt has quit [Ping timeout: 260 seconds]
srt has joined #symbiflow
srt has quit [Ping timeout: 240 seconds]
awordnot has quit [Ping timeout: 258 seconds]
awordnot has joined #symbiflow
srt has joined #symbiflow
srt has quit [Ping timeout: 260 seconds]
mkru has joined #symbiflow
mkru has quit [Client Quit]
OmniMancer has joined #symbiflow
OmniMancer has quit [Read error: Connection reset by peer]
OmniMancer has joined #symbiflow
srt has joined #symbiflow
srt has quit [Ping timeout: 260 seconds]
proteus-guy has quit [Ping timeout: 240 seconds]
srt has joined #symbiflow
srt has quit [Ping timeout: 260 seconds]
az0re has quit [Remote host closed the connection]
kraiskil has joined #symbiflow
mkru has joined #symbiflow
srt has joined #symbiflow
srt has quit [Ping timeout: 240 seconds]
<tnt> kgugala: If I instanciate a logic_cell_macro , will it actually implement it ?
<sf-slack3> <kgugala> yesterday, techmap for that was merged
<sf-slack3> <kgugala> so now you should be able to instantiate logic_cell_macro
<tnt> Oh, great :)
<tnt> And gclk fixes too it seems.
<sf-slack3> <kgugala> yep
<sf-slack3> <kgugala> there is still some stuff to be done in gclk
<sf-slack3> <kgugala> I think it should be ready today
srt has joined #symbiflow
srt has quit [Ping timeout: 240 seconds]
<tnt> kgugala: https://pastebin.com/raw/MjbLz0eJ Does that ring a bell ?
<sf-slack3> <kgugala> nope, can you file an issue about it
<tnt> done aa65abdeb8754f60f425ca4ecfa03c176104e90f
<tpb> Title: "TypeError: list indices must be integers or slices, not str" in timing importer · Issue #29 · QuickLogic-Corp/symbiflow-arch-defs · GitHub (at github.com)
<sf-slack3> <kgugala> I'll take a look on that
daveshah has joined #symbiflow
daveshah has quit [Changing host]
<sf-slack3> <c-slack> How would I approach a frontend for a new chip? How easy is it to port the fuzzer? More specifically I'd like to try to adapt the ECP5 fuzzer to the MachXO3.
<Lofty> daveshah: ^
<daveshah> talk to cr1901 as he is working on MachXO2 which is very similar
<daveshah> I don't know if he is on this channel, but he is probably on ##openfpga or #yosys
andrewb1999 has joined #symbiflow
FFY00 has quit [Remote host closed the connection]
<sf-slack3> <c-slack> thanks!
FFY00 has joined #symbiflow
proteus-guy has joined #symbiflow
srt has joined #symbiflow
<tnt> Anyone know how to constrain cross clock parth in VPR ?
<tnt> ATM it basically constraint them to ... 0ns ... which obviously fails. I'd jue like to set some max delay spec.
citypw has quit [Ping timeout: 240 seconds]
gsmecher has joined #symbiflow
<tnt> set_max_delay 40 -from [get_clocks {clk_wb}] -to [get_clocks {clk_usb}]
<tnt> => Message: set_max_path must specify at least one -from or -to clock
<tnt> No-one ever used that ?
<tnt> I have "create_clock -period 83.00 clk_wb" and it finds the clokc for that just fine so I have no clue wtf it's complainaing about for the max delay.
<tnt> Ok, so ... if I use "usb_I.uc_clk" it works :/ .... but I don't _control_ that name, it's whatever yosys decided to use.
<tnt> And the eblif has a ".names usb_I.uc_clk clk_wb" marking them as being the same.
andrewb1999 has quit [Ping timeout: 256 seconds]
andrewb1999 has joined #symbiflow
OmniMancer has quit [Quit: Leaving.]
<litghost> So we had some issues around this
<litghost> But I believe that all clock aliases are supported, if they are present in the eblif
<litghost> We have an open issue about propigating clock constraints through yosys transformations, but it hasn't been finished yet
<litghost> Which git hash is your VTR at?
<litghost> Because I believe upstream VTR and symbiflow VTR both support using an aliased net name in SDC
<litghost> tnt: The relevant code was added in https://github.com/verilog-to-routing/vtr-verilog-to-routing/pull/1173
<tpb> Title: vpr: use alias name mapping for clock nets when removing buffer luts by acomodi · Pull Request #1173 · verilog-to-routing/vtr-verilog-to-routing · GitHub (at github.com)
<tnt> litghost: The alias works for 'create_clock' (to set up period/frequency). But not for set_max_path :/
<tnt> hash is 8980e46218542888fac879961b13aa7b0fba8432
<litghost> tnt: Totally possible. Should be an easier enough fix
<litghost> tnt: Can you describe the clock relationship between clk_wb and clk_usb?
<litghost> tnt: Are they simply phase shifted from one another?
<litghost> tnt: You should definitely fill an issue on VTR about set_max_delay not support net aliases, I think that was an oversight
<litghost> tnt: However depending on the clock relationship you are trying to describe, I believe create_clock is enough
<_whitenotifier-f> [sphinxcontrib-verilog-diagrams] mithro opened issue #41: Weird formatting - https://git.io/Jfhvj
<tnt> litghost: they are different frequencies (12M and 36M).
<tnt> But there is proper CDC for all signals not assuming any relationship, so to make sure it works, it just needs to make sure the max delay is shorter than 1 clock period of the slower clock.
<tnt> (and definitely doesn't work without constrain ... by default it tries to capture at edge 0 ns the data emitted at edge 0 ns ... which obviously yields a violation).
<litghost> tnt: You have reached the edge of my limited knowledge on timing enforcement. kmurray from the VTR project should be able to answer your question.
<litghost> tnt: I believe in your case, the fact that set_max_delay isn't respecting the clock alias is a straight forward bug, and should be fixed
<litghost> tnt: Does the analysis work as expected if you use the other net alias?
<tnt> yup, I used usb_I.uc_clk in the constraint temporarily and it works as expected.
<litghost> tnt: Ok, thats good. Then it sounds like the solution is to add net alias support to https://github.com/verilog-to-routing/vtr-verilog-to-routing/blob/master/vpr/src/timing/read_sdc.cpp#L896
<tpb> Title: vtr-verilog-to-routing/read_sdc.cpp at master · verilog-to-routing/vtr-verilog-to-routing · GitHub (at github.com)
<litghost> tnt: I expect it should be a straight forward change. If you don't feel comfortable making the change, ping acomodi, as they made the previous fix around this issue
<_whitenotifier-f> [vtr-verilog-to-routing] smunaut opened issue #524: Net alias not properly considered when creating set_{min,max}_delay constrainst - https://git.io/JfhfQ
mkru has quit [Quit: Leaving]
kraiskil has quit [Ping timeout: 260 seconds]
az0re has joined #symbiflow
mkru has joined #symbiflow
titanbiscuit has quit [Ping timeout: 265 seconds]
titanbiscuit has joined #symbiflow
maartenBE has quit [Ping timeout: 264 seconds]
maartenBE has joined #symbiflow
mkru has quit [Ping timeout: 264 seconds]
mkru has joined #symbiflow
mkru has quit [Remote host closed the connection]
<HackerFoo> In case anyone else sees this bug: https://github.com/YosysHQ/yosys/issues/2190
<tpb> Title: Stack overflow in XAigerWriter::bit2aig(Yosys::RTLIL::SigBit) · Issue #2190 · YosysHQ/yosys · GitHub (at github.com)
srt has quit [Remote host closed the connection]
<_whitenotifier-f> [python-symbiflow-v2x] mithro opened issue #68: Publish to PyPi - https://git.io/JfhZz
<_whitenotifier-f> [fpga-tool-perf] HackerFoo opened issue #172: write_bitstream fails on baselitex with nextpnr-xilinx and latest yosys - https://git.io/JfhZ6
awordnot has quit [Ping timeout: 256 seconds]
awordnot has joined #symbiflow