tpb has quit [Remote host closed the connection]
tpb has joined #symbiflow
srt has quit [Remote host closed the connection]
srt has joined #symbiflow
srt has quit [Remote host closed the connection]
srt has joined #symbiflow
gsmecher has quit [Ping timeout: 246 seconds]
srt has quit [Remote host closed the connection]
emilazy has quit [Ping timeout: 246 seconds]
bubble_buster has quit [Ping timeout: 240 seconds]
mithro has quit [Ping timeout: 240 seconds]
nickray has quit [Read error: Connection reset by peer]
emilazy has joined #symbiflow
nickray has joined #symbiflow
bubble_buster has joined #symbiflow
mithro has joined #symbiflow
bgfish has quit [Ping timeout: 258 seconds]
srt has joined #symbiflow
srt has quit [Remote host closed the connection]
srt has joined #symbiflow
srt has quit [Ping timeout: 260 seconds]
Degi has quit [Ping timeout: 264 seconds]
Degi_ has joined #symbiflow
Degi_ is now known as Degi
gsmecher has joined #symbiflow
gsmecher has quit [Ping timeout: 246 seconds]
srt has joined #symbiflow
srt has quit [Ping timeout: 256 seconds]
citypw has joined #symbiflow
gsmecher has joined #symbiflow
OmniMancer has joined #symbiflow
OmniMancer1 has quit [Ping timeout: 260 seconds]
epony has quit [Ping timeout: 258 seconds]
OmniMancer has quit [Read error: Connection reset by peer]
OmniMancer has joined #symbiflow
OmniMancer1 has joined #symbiflow
futarisIRCcloud has quit [Quit: Connection closed for inactivity]
OmniMancer has quit [Ping timeout: 246 seconds]
gsmecher has quit [Ping timeout: 260 seconds]
_whitelogger has joined #symbiflow
futarisIRCcloud has joined #symbiflow
kgugala has quit [Read error: Connection reset by peer]
kgugala has joined #symbiflow
kgugala_ has joined #symbiflow
kgugala has quit [Ping timeout: 256 seconds]
kgugala has joined #symbiflow
kgugala_ has quit [Ping timeout: 256 seconds]
lopsided98 has quit [Quit: No Ping reply in 180 seconds.]
lopsided98 has joined #symbiflow
<tnt> Mm, in the S3, the RAM have "Selectable pipelined or non-pipelined read data".
<tnt> But is that an additional data register (so latency=2clk) or is that a switch between async read and synchronous read ?
<sf-slack> <kgugala> tnt: it adds a flip-flop, so you'll get one additional clk latency when using pipelined
<tnt> Tx, that's what i would have tought, but I'm having some weird behavior so at this point I'm grasping at straws.
futarisIRCcloud has quit [Quit: Connection closed for inactivity]
<tnt> So I need a sanity check ... This is a block diagram of what I'm testing now : https://i.imgur.com/f6DrMF1.png
<tnt> There is two ram buffers (16 kbits each), configured with assymetric read/write width. One is 32b write / 8b read and the other the opposite. Once side is connected to wishbone for the ARM to access and on the other side is a small copy machine that continuously copy the first 64 bytes from one RAM to the other.
<tpb> Title: qorc-sdk/top.v at ram_test · smunaut/qorc-sdk · GitHub (at github.com)
<tnt> (for debug purposes I only copy the lower nibble of each byte, the upper nibble is replaces with the 4 LSBs of the write address).
<tnt> From the ARM I write for instance 0x00010203 and when reading from the other RAM (which is actually mapped to the same address since one is read only and the other write only), I expect to read that back (at least for the lower nibble).
<tpb> Title: 00010203 - 37211002 04050607 - 7b655446 08090a0b - bfa9988a 0c0d0e0f - f3eddc - Pastebin.com (at pastebin.com)
<tnt> this is what I get. (first column is what I write, second column is what I read back).
<tnt> The upper nibble actually properly reflect the LSB of the address ... the lower niblle are all scrambled over the place.
epony has joined #symbiflow
<sf-slack> <kgugala> tnt: what accesses do you do in the ARM CPU? byte/word/double word? I see you do not handle the wb_wstb signal (byte select)
<tnt> kgugala: It should all be double word access.
<tnt> Let me check the disassembly to make sure gcc did what I wanted.
<tpb> Title: qorc-sdk/main.c at ram_test · smunaut/qorc-sdk · GitHub (at github.com)
<tnt> That's the test code btw.
<tnt> yeah, all access are 32b. Of course assuming the AHB->WB bridge doesn't split them up but that would be very inconvenient if it did ...
citypw has quit [Ping timeout: 240 seconds]
<tnt> This is driving me nuts, I've been banging my head against it all morning :/
kraiskil has joined #symbiflow
kgugala_ has joined #symbiflow
kgugala has quit [Ping timeout: 256 seconds]
kgugala has joined #symbiflow
kgugala__ has joined #symbiflow
kgugala_ has quit [Read error: Connection reset by peer]
kgugala has quit [Ping timeout: 258 seconds]
<tnt> Mmm ... I'm starting to wonder if that's not a hold time viiolation due to (1) high skew because of the global clock buffer getting stripped out and (2) lack of timing data for RAM.
<sf-slack> <kgugala> Timing data has been merged
<tnt> kgugala: What repo / branches should I be looking in ?
<tpb> Title: GitHub - QuickLogic-Corp/symbiflow-arch-defs at quicklogic-upstream-rebase (at github.com)
<tnt> tx.
srt has joined #symbiflow
<tnt> kgugala: Nice to see that the place/route/ql_symbiflow/... scripts install has been fixed as well :)
<tnt> 'route' conflicts with the linux IP routing table manipulation util though :p
<sf-slack> <kgugala> yep, need to rename it to sth like symbiflow_route or vpr_route
<tnt> I guess not all ports have timing info ? I still have a lot of stuff like "Warning 1131: Model 'RAM_CE1_FE0_PR1_WSA2_WSB2_VPR' input port 'CLK2EN_0' has no timing specification (no clock specified to create a sequential input port, not combinationally connected to any outputs, not a clock input)"
<tnt> (but not for Read/Write Address/Data port as well)
<sf-slack> <kgugala> there is no timing info for those in the https://github.com/QuickLogic-Corp/EOS-S3 so import script cannot get them
<tpb> Title: GitHub - QuickLogic-Corp/EOS-S3: QuickLogic EOS S3 FPGA device description (at github.com)
<sf-slack> <kgugala> fortunately those inputs are suppose to be constants (at least for now)
<sf-slack> <kgugala> I mean those are suppose to be routed to constants generators
<tnt> Most of them seem not that dynamic. CSx_y / CLKxEN_y maybe not.
<tnt> Any idea what LS / SD / DS are btw ?
<tnt> Ah, my test seems to behave much better now ! Nice.
<sf-slack> <kgugala> great
<sf-slack> <kgugala> AFAIK those LS/SD/DS pins are for power control
<tnt> Oh yeah "Deep Sleep" "Shut Down"
<tnt> and ... Light Speed (for overclocking I'm sure :p)
<sf-slack> <kgugala> :)
srt has quit [Ping timeout: 260 seconds]
<tnt> I'm trying to use the usb pins (14,10,15) and I just get a place error as soon as I try to do anything with it ... (I randomly assigned a signal to it) https://pastebin.com/BDjXmJgH
<tpb> Title: cd build && place_vpr -e top.eblif -d ql-eos-s3_wlcsp -p /home/tnt/projects/fpga - Pastebin.com (at pastebin.com)
futarisIRCcloud has joined #symbiflow
<sf-slack> <kgugala> Do you have a pcf published somewhere?
<sf-slack> <kgugala> Currently I'm travelling, but I can take a look on that later
<tpb> Title: qorc-sdk/quickfeather.pcf at ram_test · smunaut/qorc-sdk · GitHub (at github.com)
<tnt> but with the usb stuff uncommented.
<tnt> Even with just usb_dp is I assign it to pin 10 I get that error. If I assign it to pin 37 (random pick), it works.
andrewb1999 has joined #symbiflow
<litghost> tnt: Unclear where the rest of error message ended up, but that error is https://github.com/SymbiFlow/vtr-verilog-to-routing/blob/master%2Bwip/vpr/src/base/read_place.cpp#L254, which is that the type of cluster being placed doesn't match the arch
<tpb> Title: vtr-verilog-to-routing/read_place.cpp at master+wip · SymbiFlow/vtr-verilog-to-routing · GitHub (at github.com)
<litghost> tnt: Open an issue with a tarball with the .net, .pcf and top_io.place files
<andrewb1999> litghost: In vivado is there a way to create a pblock that, for example, includes the INT_L tiles but excludes the bordering INT_R tiles? Or does vivado limit it just to aligning to CLBs, BRAMs, etc?
<litghost> andrewb1999: So a pblock typically uses sites to delimit it, right?
<andrewb1999> litghost: Yes you give it a range of sites
<litghost> andrewb1999: INT_L/R tiles contain TIEOFF sites, that might do the trick, but I've never tried it
kgugala__ has quit [Ping timeout: 272 seconds]
<andrewb1999> litghost: Vivado doesn't seem to like that. Just wondering if it would be possible to do something like that for mixed vivado overlay and vpr region.
<litghost> andrewb1999: Losing 1 columns of CLB's to maintain the same overlay is doable
<litghost> andrewb1999: It is also worth noting that if you design the harness using VPR as we had discussed, we have a way to import that into VIvado again, if that is needed
kgugala has joined #symbiflow
<ryancj14> Hello, I'm Ryan Johnson. I'm working with Professor Nelson at BYU, studying fpga performance and device representations. I was wondering if I could get an invitation to the symbiflow slack channel as my professor believes it would be a great way to communicate with this group. We have been working hard on applying the symbiflow tools and would love to participate and help with you all as much as possible. Thanks for
<ryancj14> your help. My email is ryancj14@gmail.com.
<sf-slack> <mgielda> hi Ryan, this is bridged with Slack
<sf-slack> <mgielda> so the IRC == Slack
<sf-slack> <mgielda> kind of
<Lofty> I think the IRC is the public side of it, while the Slack is a bit more private
<ryancj14> Alright thanks!
<ryancj14> I did notice they are connected. I think slack will be a bit more convenient.
gsmecher has joined #symbiflow
ryancj14 has left #symbiflow [#symbiflow]
kgugala has quit [Read error: Connection reset by peer]
kgugala has joined #symbiflow
<tnt> kgugala: There was a bunch of small errors in ql_symbiflow and synth script that resulted in the PCF and PINMAP files not to be considered during the synth and so it was using BIDIR instead of SDIO_MUX
<tnt> (missing the part / pcf argument to synth, also some places used PU64_pinmap.csv and other pinmap_PU64.csv ...)
<sf-slack> <kgugala> I suspected sth like that
<sf-slack> <kgugala> Do you have a fix somewhere?
<tnt> yup let me make a patch with the few tweaks I had to make.
<tnt> actuall which one should it use ? pinmap_PU64.csv or PU64_pinmap.csv ?
<tnt> looks like the scripts all use pinmap_PU64.csv but the make install puts them in PU64_pinmap.csv
<sf-slack> <kgugala> The suffixed version looks better
<sf-slack> <kgugala> I'll check the install target
srt has joined #symbiflow
<tpb> Title: [Diff] diff --git a/quicklogic/toolchain_wrappers/ql_symbiflow b/quicklogic/toolchain_w - Pastebin.com (at pastebin.com)
<tnt> Then it's just that.
<sf-slack> <kgugala> thanks
<sf-slack> <kgugala> do you want to open a PR, or should I include this in the install fix?
<tnt> feel free to just include it.
<sf-slack> <kgugala> hmm, from what I see the pinmap naming is already fixed
<sf-slack> <kgugala> I got pinmap_PD64.csv pinmap_PU64.csv pinmap_WR42.csv
<sf-slack> <kgugala> so only wrapper fix is needed
<tnt> Oh yeah, my bad, the ${PACKAGE}_pinmap.csv was from the binary distrib ...
srt has quit [Remote host closed the connection]
srt has joined #symbiflow
bgfish has joined #symbiflow
bgfish has quit [Client Quit]
kgugala_ has joined #symbiflow
kgugala has quit [Ping timeout: 246 seconds]
OmniMancer1 has quit [Quit: Leaving.]
<tnt> Lofty: On a full PNR run the changes brough it from 545 LCs to 457 LCs, so pretty nice :)
<Lofty> Woo!
<Lofty> Thanks for the measurements, tnt
andrewb1999 has quit [Quit: Konversation terminated!]
andrewb1999 has joined #symbiflow
<andrewb1999> litghost: For the top left region of the a50t, does a frame include from the top of the chip up to but excluding the BRKH row, or does it also include the BRKH row?
tcal has joined #symbiflow
srt has quit [Remote host closed the connection]
srt has joined #symbiflow
kraiskil has quit [Ping timeout: 260 seconds]
srt has quit [Remote host closed the connection]
srt has joined #symbiflow
<sf-slack> <timo.callahan> Is symbiflow the best place for Renode questions, or is there another IRC channel?
<sf-slack> <pgielda> Its fine to ask here. Or open an issue. Or send a PM ;)
<sf-slack> <timo.callahan> @pgielda Thanks! Nothing specific yet, but I plan to dive into it this coming week.
<tnt> Does VTR have a gui to visualize the result of a PnR run ?
srt has quit [Remote host closed the connection]
<andrewb1999> tnt: It does. Haven't used it with symbiflow though.
<tnt> I tried adding --disp on ... which did nothing.
<litghost> tnt: Any chance you are running with a version of VTR with the GUI compiled out?
<tnt> litghost: well the cmake did say "-- VPR Graphics: Enabled" & "-- EZGL: graphics enabled" so ... I think I should be good
<litghost> tnt: What VPR step are you doing? --place / --route / --analysis?
<tnt> Oh yeah,nm, I must have been calling it wrong ... I added it to place and it popped up ...
kgugala has joined #symbiflow
<tnt> I was hoping for a bit more detailled cell view though :/ (more like nextpnr gui or fpga_editor )
<litghost> I believe VPR's gui is mostly focus on the interconnect graph, with few details inside of the island
<litghost> But I don't have much experience
kgugala_ has quit [Ping timeout: 256 seconds]
az0re has quit [Remote host closed the connection]
andrewb1999 has quit [Ping timeout: 258 seconds]