_florent_ changed the topic of #litex to: LiteX FPGA SoC builder and Cores / Github : https://github.com/enjoy-digital, https://github.com/litex-hub / Logs: https://freenode.irclog.whitequark.org/litex
CarlFK has quit [Ping timeout: 268 seconds]
<somlo> _florent_: I get these values (in CSRBank init): paging: 2048; paging//4: 512; bus.alignment: 64; aligned_paging:256
<somlo> so aligned_paging is half what it should have been if bus.alignment is 64 and not 32 :)
CarlFK has joined #litex
rohitksingh has joined #litex
<_florent_> somlo: sorry for that, this is fixed, aligned_paging was indeed not computed correctly
<_florent_> somlo: i will probably run some configurations with litex_sim in Travis-CI to catch this more easily
m4ssi has joined #litex
rohitksingh has quit [Ping timeout: 240 seconds]
<somlo> _florent_: thanks, I'll give it another spin when I get into the office in an hour or so :)
<_florent_> somlo: ok, FYI i reproduced your issue with litex_sim --cpu-type=rocket
<acathla> what's the difference between litex/litex/boards/platforms (litex repository) and litex-board/plateforms (litex-boards) ? Some files are identical.
<acathla> _florent_?
rohitksingh has joined #litex
rohitksingh has quit [Remote host closed the connection]
rohitksingh has joined #litex
<somlo> acathla: afaik, litex-boards is a super-set of litex/litex/boards
<somlo> it *used* to have things split into "official", "community", and "partner" to differentiate the levels of support for each category, and "official" was the same set as what's currently in litex/litex/boards
<somlo> but then _florent_ removed the official/community/partner distinction and now litex-boards is just "everything in upstream LiteX plus a bunch more"
rohitksingh has quit [Remote host closed the connection]
rohitksingh has joined #litex
rohitksingh has quit [Ping timeout: 240 seconds]
m4ssi has quit [Quit: Leaving]
rohitksingh has joined #litex
<_florent_> acathla: litex-boards is indeed a super-set of the supported ones in LiteX. You could see the one in LiteX as the ones used for regression testing, we'll see in the future of we keep both or only litex-boards
<acathla> _florent_, ok
<acathla> _florent_, so if I want to add support for a new board, the best place is in litex-boards?
<_florent_> there was indeed a distinction in litex-boards, for level of support, but this was introducing more complexity and all platforms were maintained similarly, so i removed the distinction for simplicity
<_florent_> acathla: yes, litex-boards is the right place for new boards
<somlo> _florent_: I noticed the litesdcard nexys4ddr example uses S7PLL, but the litex builder uses S7MMCM
<somlo> wondering if that's why I'm getting timing issues when trying to add litesdcard to my litex EthernetSoC
<somlo> building the nexys4ddr litesdcard example works fine (no timing issues like when trying to add it to EthernetSoC in "upstream" litex)
ambro718 has joined #litex
rohitksingh has quit [Ping timeout: 272 seconds]
rohitksingh has joined #litex
<_florent_> somlo: sorry i haven't been able to look at the nexys4ddr design yet
<_florent_> you can maybe try to switch between S7MMCM and S7PLL
ambro718 has quit [Ping timeout: 240 seconds]
<somlo> _florent_: I did, the litesdcard example works with both, while the modified EthernetSoC doesn't like either :) I'm studying the clock situation (perhaps when adding litesdcard to "upstream" EthernetSoC we should generate its clock signal there and drop litesdcard.clocker)
ambro718 has joined #litex
<somlo> So if/when you have a chance to look and put me out of my misery, that's fine with me... I'll learn something either way (by digging around blindly until things start making sense, or by studying your patch that just fixes stuff) :)
m4ssi has joined #litex
rohitksingh has quit [Ping timeout: 240 seconds]
m4ssi has quit [Remote host closed the connection]
m4ssi has joined #litex
CarlFK has quit [Ping timeout: 265 seconds]
m4ssi has quit [Remote host closed the connection]
<somlo> _florent_: so far, I believe that when I try to glue litesdcard into litex-proper's EthernetSoC, the litesdcard clocker module grabs the wrong ClockSignal() here: https://github.com/enjoy-digital/litesdcard/blob/master/litesdcard/clocker.py#L125
<tpb> Title: litesdcard/clocker.py at master · enjoy-digital/litesdcard · GitHub (at github.com)
<somlo> since EthernetSoC has a large number of clock domains, I think I end up getting something other than "clk100" (vivado complains that because CLKIN1_PERIOD = 33.33333, the output would be 192MHz which is way below the minimum 600MHz required by speedgrade -1)
<somlo> whereas when built as part of the litesdcard/examples/nexys4ddr.py, ClockSignal() is the one-and-only "clk100", and things work fine
ambro718 has quit [Ping timeout: 268 seconds]
tpb has quit [Remote host closed the connection]
tpb has joined #litex