_florent_ changed the topic of #litex to: LiteX FPGA SoC builder and Cores / Github : https://github.com/enjoy-digital, https://github.com/litex-hub / Logs: https://freenode.irclog.whitequark.org/litex
tpb has quit [Remote host closed the connection]
tpb has joined #litex
Degi_ has joined #litex
Degi has quit [Ping timeout: 272 seconds]
Degi_ is now known as Degi
CarlFK has joined #litex
ambro718 has quit [Ping timeout: 265 seconds]
mithro has quit [Ping timeout: 272 seconds]
levi has quit [Ping timeout: 246 seconds]
guan has quit [Ping timeout: 260 seconds]
rohitksingh has quit [Ping timeout: 256 seconds]
bubble_buster has quit [Ping timeout: 246 seconds]
levi has joined #litex
guan has joined #litex
bubble_buster has joined #litex
mithro has joined #litex
rohitksingh has joined #litex
jaseg has quit [Ping timeout: 260 seconds]
jaseg has joined #litex
sorear has quit [Ping timeout: 244 seconds]
sorear has joined #litex
FFY00 has quit [Remote host closed the connection]
FFY00 has joined #litex
FFY00 has quit [Remote host closed the connection]
FFY00 has joined #litex
FFY00 has quit [Remote host closed the connection]
FFY00 has joined #litex
_florent__ has joined #litex
_florent_ has quit [Ping timeout: 256 seconds]
_florent__ is now known as _florent_
FFY00 has quit [Remote host closed the connection]
FFY00 has joined #litex
FFY00 has quit [Remote host closed the connection]
FFY00 has joined #litex
_franck_ has quit [Ping timeout: 272 seconds]
_whitelogger has joined #litex
jaseg has quit [Ping timeout: 272 seconds]
jaseg has joined #litex
CarlFK has quit [Quit: Leaving.]
kgugala_ has joined #litex
kgugala has quit [Read error: No route to host]
kgugala_ has quit [Read error: Connection reset by peer]
kgugala has joined #litex
kgugala_ has joined #litex
kgugala has quit [Read error: Connection reset by peer]
kgugala has joined #litex
kgugala_ has quit [Ping timeout: 264 seconds]
CarlFK has joined #litex
lkcl_ has quit [Ping timeout: 265 seconds]
lkcl_ has joined #litex
<lkcl_> somlo, yes, i saw the CSRs after investigating a bit more - pythondata_cpu_microwatt provides its own version of timer0_value_read (and write?)
<lkcl_> however they happen not to be used
<lkcl_> am still getting these:
<lkcl_> Memtest at 0x0000000040000000...
<lkcl_> [bus: 0x0]: 0xaaaa0000 vs 0xaaaaaaaa
<lkcl_> that's after putting in a nmigen-based wishbone 64-to-32-bit downconverter. yet in sim it's fine
<daveshah> Is picorv32 reliably working fine at the same frequency?
<lkcl_> daveshah: yes
<lkcl_> 55mhz
ambro718 has joined #litex
<lkcl_> i've put in a system for dumping GPRs (implemented microwatt's DMI interface)
<lkcl_> and a way to activate that on a "range" of values of PC
<lkcl_> then ran it on both microwatt and libresoc and did a diff
<lkcl_> that told me that somewhere a couple of GPRs end up being different
<lkcl_> now of course i need to do a binary search tracking down which function causes that difference
<lkcl_> am just going to have to be veeeery patient.
<lkcl_> daveshah: the only main difference which *might* affect timing (somehow?) is that instruction read is still 64-bit. however i am beginning to suspect something else going on, and will start running some of microwatt unit tests
<lkcl_> ah! i have errors i can track down! alriiiight. progress
peeps[zen] has quit [Ping timeout: 256 seconds]
peeps[zen] has joined #litex
FFY00 has quit [Quit: dd if=/dev/urandom of=/dev/sda]
FFY00 has joined #litex
kgugala_ has joined #litex
kgugala has quit [Ping timeout: 265 seconds]
kgugala_ has quit [Quit: -a- Connection Timed Out]
kgugala has joined #litex
<lkcl_> daveshah: running a microwatt unit test, found 4 ALU bugs (whoops) - andc, orc, modsd, divsd. will come back to memtest once i'm confident these are dealt with :)
<daveshah> I think Dolu ran VexRiscv in Verilator lockstep with a reference C++ model to debug Linux in litex startup
<daveshah> any divergence in register value would stop the sim
<lkcl_> niice
<lkcl_> i'm doing something similar in the unit tests
<lkcl_> we have a python-based cycle-accurate POWER9 simulator being developed co-simultaneously with the HDL
<lkcl_> except, running large programs takes... a while :)
<tpb> Title: Running Linux on the Acorn CLE-215+ | The Spool Queue (at spoolqueue.com)
<futarisIRCcloud> Didn't see this blog post from a couple weeks ago
kgugala_ has joined #litex
kgugala has quit [Ping timeout: 260 seconds]
kgugala has joined #litex
kgugala_ has quit [Ping timeout: 246 seconds]
kgugala_ has joined #litex
kgugala has quit [Ping timeout: 265 seconds]
CarlFK has quit [Ping timeout: 256 seconds]
CarlFK has joined #litex
<lkcl_> futarisIRCcloud: wow, he got linux up and running on it, including a uart and sdmmc - despite the fact that those PCBs have what... 12 pins? :)
<_florent_> futarisIRCcloud: nice, thanks for sharing, i'm also interested in getting LitePCIe working in Root mode, i'll get in touch with him to see if we could collaborate on this
kgugala_ has quit [Read error: Connection reset by peer]
kgugala has joined #litex
_whitelogger has joined #litex
ambro718 has quit [Quit: Konversation terminated!]
lf has quit [Ping timeout: 240 seconds]
lf has joined #litex