sb0_ changed the topic of #m-labs to: https://m-labs.hk :: Logs http://irclog.whitequark.org/m-labs
<_whitenotifier-c> [m-labs/nmigen] whitequark pushed 1 commit to master [+0/-0/±3] https://git.io/fh0CS
<_whitenotifier-c> [m-labs/nmigen] whitequark b50b47d - hdl.ast: give Assert and Assume their own src_loc.
<_whitenotifier-c> [nmigen] Success. The Travis CI build passed - https://travis-ci.org/m-labs/nmigen/builds/481625043?utm_source=github_status&utm_medium=notification
<_whitenotifier-c> [nmigen] Success. 82.01% (+0.27%) compared to 66466a8 - https://codecov.io/gh/m-labs/nmigen/commit/b50b47d9846e5b3da7d08a0c095a6dd66bbf91c1
<_whitenotifier-c> [nmigen] Success. 85% of diff hit (target 81.73%) - https://codecov.io/gh/m-labs/nmigen/commit/b50b47d9846e5b3da7d08a0c095a6dd66bbf91c1
<_whitenotifier-c> [m-labs/nmigen] whitequark pushed 3 commits to master [+1/-0/±4] https://git.io/fh0Bv
<_whitenotifier-c> [m-labs/nmigen] whitequark 97b9902 - lib.fifo: formally verify FIFO contract.
<_whitenotifier-c> [m-labs/nmigen] whitequark 45088f7 - compat.genlib.fifo: add aliases for SyncFIFO, SyncFIFOBuffered.
<_whitenotifier-c> [m-labs/nmigen] whitequark 3ed5193 - lib.fifo: fix simulation read/write methods to take only one cycle.
<_whitenotifier-c> [nmigen] Success. The Travis CI build passed - https://travis-ci.org/m-labs/nmigen/builds/481631494?utm_source=github_status&utm_medium=notification
<_whitenotifier-c> [nmigen] Success. 82.72% (+0.71%) compared to b50b47d - https://codecov.io/gh/m-labs/nmigen/commit/3ed519383cad0f2330bd0dda467248c5a09acb39
<_whitenotifier-c> [nmigen] Success. 100% of diff hit (target 82.01%) - https://codecov.io/gh/m-labs/nmigen/commit/3ed519383cad0f2330bd0dda467248c5a09acb39
<_whitenotifier-c> [m-labs/nmigen] whitequark pushed 2 commits to master [+0/-0/±2] https://git.io/fh0oF
<_whitenotifier-c> [m-labs/nmigen] whitequark e3b5b2a - fhdl.specials: add compatibility shim for Tristate.
<_whitenotifier-c> [m-labs/nmigen] whitequark 94b23dd - doc: update COMPAT_SUMMARY.
<_whitenotifier-c> [nmigen] Success. The Travis CI build passed - https://travis-ci.org/m-labs/nmigen/builds/481639168?utm_source=github_status&utm_medium=notification
<_whitenotifier-c> [nmigen] Success. 82.61% (+0.6%) compared to b50b47d - https://codecov.io/gh/m-labs/nmigen/commit/94b23dd2c8daa56d3e160f5db775852064a3a2d2
<_whitenotifier-c> [nmigen] Success. Coverage not affected when comparing b50b47d...94b23dd - https://codecov.io/gh/m-labs/nmigen/commit/94b23dd2c8daa56d3e160f5db775852064a3a2d2
<GitHub-m-labs> [artiq] sbourdeauducq pushed 1 new commit to master: https://github.com/m-labs/artiq/commit/a2ff2cc1736663a77809a94867906368b84ea8fd
<GitHub-m-labs> artiq/master a2ff2cc Sebastien Bourdeauducq: sayma_amc: use more selective IOBUFDS false path
<whitequark> sb0: so, you gave me this link: http://www.sunburst-design.com/papers/CummingsSNUG2002SJ_FIFO1.pdf
<whitequark> doesn't AsyncFIFO already implement the "style #2" from the paper?
<whitequark> (side note: GrayCounter is weird, shouldn't it be GrayEncoder and GrayDecoder and shouldn't they be in coding ?)
<cr1901_modern> IME, gray counters are generally considered a good CDC primitive when you can use them
<whitequark> I am aware
<whitequark> this is purely a code organization concern
<whitequark> feels to me that GrayCounter just introduces more indirection where you probably want to instantiate a regular binary counter on a higher level in the design and encode that
<cr1901_modern> I don't think it's weird to have them under CDC; I've never used them outside of that reason.
<bb-m-labs> build #2275 of artiq-board is complete: Success [build successful] Build details are at http://buildbot.m-labs.hk/builders/artiq-board/builds/2275
<whitequark> sb0: oh by the way, we discussed asynchronous reset of the FIFO earlier
<whitequark> and that paper specifically calls it out as not an issue
<bb-m-labs> build #2276 of artiq-board is complete: Failure [failed conda_build] Build details are at http://buildbot.m-labs.hk/builders/artiq-board/builds/2276 blamelist: Sebastien Bourdeauducq <sb@m-labs.hk>
<bb-m-labs> build #2837 of artiq is complete: Failure [failed] Build details are at http://buildbot.m-labs.hk/builders/artiq/builds/2837 blamelist: Sebastien Bourdeauducq <sb@m-labs.hk>
_whitelogger has joined #m-labs
_whitelogger has joined #m-labs
rohitksingh has joined #m-labs
<_whitenotifier-c> [m-labs/nmigen] whitequark pushed 1 commit to master [+0/-0/±3] https://git.io/fhEPv
<_whitenotifier-c> [m-labs/nmigen] whitequark c5d67b0 - hdl.xfrm: mark internal registers used in lowering Sample().
<_whitenotifier-c> [nmigen] Success. The Travis CI build passed - https://travis-ci.org/m-labs/nmigen/builds/481681746?utm_source=github_status&utm_medium=notification
<_whitenotifier-c> [nmigen] Success. 82.67% (+0.05%) compared to 94b23dd - https://codecov.io/gh/m-labs/nmigen/commit/c5d67b0461714c499347ad255d2cc974632a62e9
<_whitenotifier-c> [nmigen] Success. 100% of diff hit (target 82.61%) - https://codecov.io/gh/m-labs/nmigen/commit/c5d67b0461714c499347ad255d2cc974632a62e9
rohitksingh has quit [Ping timeout: 246 seconds]
proteusguy has quit [Remote host closed the connection]
proteusguy has joined #m-labs
rohitksingh has joined #m-labs
rohitksingh has quit [Ping timeout: 245 seconds]
lkcl has quit [Ping timeout: 245 seconds]
lkcl has joined #m-labs
<_whitenotifier-c> [m-labs/nmigen] whitequark pushed 2 commits to master [+0/-0/±2] https://git.io/fhEMY
<_whitenotifier-c> [m-labs/nmigen] whitequark 5e2b46f - hdl.ast: add Value.implies.
<_whitenotifier-c> [m-labs/nmigen] whitequark 38b3c4a - hdl.ast: implement shape for modulo operator.
<_whitenotifier-c> [m-labs/nmigen] whitequark pushed 2 commits to master [+0/-0/±2] https://git.io/fhEMR
<_whitenotifier-c> [m-labs/nmigen] whitequark 6ea0a12 - lib.fifo: use model equivalence to simplify formal specification.
<_whitenotifier-c> [m-labs/nmigen] whitequark 9de9272 - lib.fifo: use memory in the FIFO model.
<_whitenotifier-c> [nmigen] Failure. The Travis CI build failed - https://travis-ci.org/m-labs/nmigen/builds/481700339?utm_source=github_status&utm_medium=notification
<_whitenotifier-c> [nmigen] Success. The Travis CI build passed - https://travis-ci.org/m-labs/nmigen/builds/481701390?utm_source=github_status&utm_medium=notification
<_whitenotifier-c> [nmigen] Failure. 82.58% (-0.09%) compared to c5d67b0 - https://codecov.io/gh/m-labs/nmigen/commit/9de927270986fb43ba04386d69d3451ba56cc90f
<_whitenotifier-c> [nmigen] Success. Coverage not affected when comparing c5d67b0...9de9272 - https://codecov.io/gh/m-labs/nmigen/commit/9de927270986fb43ba04386d69d3451ba56cc90f
rohitksingh has joined #m-labs
proteusguy has quit [Ping timeout: 244 seconds]
hartytp has joined #m-labs
hartytp has quit [Quit: Page closed]
<sb0> whitequark: asyncfifo is based on this paper, yes. i don't remember the details, I wrote this years ago
<sb0> if style #2 matches the code, that's probably what it is
<whitequark> yeah, I read it thoroughly and it matches style #2, which is probably good
<sb0> i don't get what's happening with the gth ethernet code on sayma. it's happy to communicate with itself, but not with other devices
<sb0> could be just some silly hardware issue though. i could try it on the kcu105 i guess...
<sb0> oh and also send some test pattern and receive it on kasli
<sb0> if the transceiver clock frequency is fucked for some reason, that would show it clearly when transmitting a square wave
<sb0> could be simply that greg didn't populate a 200MHz oscillator contrary to what the schematics say
<sb0> sayma is 99% debugging, as usual
<sb0> whitequark: GrayCounter is basically a convenient way to have the current and next values both in binary and in gray
<sb0> that was useful for some things but i'm not sure if that's the case anymore. check what is using it.
<whitequark> only FIFO
<whitequark> and I think it's actually more code
<whitequark> or rather, DRTIO is using it, but not that feature. it just uses i and q.
<sb0> artiq/gateware/drtio/link_layer.py uses it.
<whitequark> yes. i just said that.
hartytp has joined #m-labs
<hartytp> is np.ceil implemented in artiq python/is there a convenient equivalent?
<whitequark> iirc it isn't
<_florent_> sb0: i did a very quick test yesterday of your ku_1000basex phy on the kcu105, but it was also not working.
<_florent_> sb0: happy to help a bit to debug that next week if it's still not working or do some tests
<_florent_> sb0: but i was generating the 200MHz clock from a PLL and disabling DRC check REQP-1753 (it's working for testing on 7-Series, but haven't tested that before on Ultrascale)
hartytp_ has joined #m-labs
<hartytp_> sb0: ping
<sb0> hartytp_: yes?
<hartytp_> pll works
<sb0> cool
<sb0> reliably? at all power cycles? at 600, 1000, 1200, 2400MHz? with deterministic phase?
<hartytp_> so far only tested 600MHz, but if that one works the others will
<hartytp_> but, yes, seems to work
<sb0> if that one works the others will? hmm. optimistic...
<hartytp_> :)
<hartytp_> Don't worry, I'll test other configurations next
<hartytp_> but, seriously, there isn't much to go wrong there
<hartytp_> important point is that the feedback through the output divider does seem to work
<sb0> _florent_: what is the si570 frequency on your board?
<sb0> you can probably use that
<sb0> _florent_: also the CPLL should run at 2500MHz, and this is controlled by p_CPLL_FBDIV, p_CPLL_FBDIV_45 and p_CPLL_REFCLK_DIV
<sb0> using other frequencies is doable by changing those parameters, and it doesn't seem there is much that can go wrong there
<sb0> I would not trust GTGREFCLK on Ultrascale.
<sb0> GTGREFCLK definitely has a lot of jitter that also depends on fabric activity
<sb0> _florent_: also for using the si570 you only have to change the pin numbers
<sb0> even though it is in another transceiver quad, surprisingly, vivado is actually capable of configuring the routing correctly
<sb0> the GTH is the only I/O feature that is less fucked on Ultrascale compared to 7-series
<sb0> _florent_: the kcu105 has an auxiliary FPGA that contains a factory design that lets you set the si570 frequency with a simple uart interface
proteusguy has joined #m-labs
_whitelogger has joined #m-labs
proteusguy has quit [Ping timeout: 245 seconds]
<hartytp_> sigh....there is something odd going on with this pll. The phase seems non-deterministic at the level of well sub 1 VCO clock cycle
proteusguy has joined #m-labs
<hartytp_> damn
<hartytp_> so, the options are:
<hartytp_> 1. figure out what undocumented features this PLL has and how to bypass them. although, given how small the phase glitches I'm seeing are, it's going to be hard to really convince ourselves that they're truly gone
<hartytp_> 2. give up on this pll and use the hmc830 (have to decide how we're going to measure the output phase and reset as necessary)
<hartytp_> 3. use the hmc830 with an external output divider, which we can reset, although that has all the usual issues
hartytp_ has quit [Quit: Page closed]
hartytp has quit [Ping timeout: 256 seconds]
proteusguy has quit [Ping timeout: 240 seconds]
rohitksingh has quit [Ping timeout: 250 seconds]
proteusguy has joined #m-labs
<_florent_> sb0: ok i'll do a test with the si570
<d_n|a> bb-m-labs: force build --branch=pull/1176/merge artiq
<bb-m-labs> build forced [ETA 59m04s]
<bb-m-labs> I'll give a shout when the build finishes
<bb-m-labs> build #2277 of artiq-board is complete: Success [build successful] Build details are at http://buildbot.m-labs.hk/builders/artiq-board/builds/2277
<bb-m-labs> build #2278 of artiq-board is complete: Success [build successful] Build details are at http://buildbot.m-labs.hk/builders/artiq-board/builds/2278
<bb-m-labs> build #998 of artiq-win64-test is complete: Success [build successful] Build details are at http://buildbot.m-labs.hk/builders/artiq-win64-test/builds/998
<bb-m-labs> build #2838 of artiq is complete: Success [build successful] Build details are at http://buildbot.m-labs.hk/builders/artiq/builds/2838
<7YSABMJ62> [artiq] klickverbot closed pull request #1176: Various sync_struct refactors (master...sync-refactor) https://github.com/m-labs/artiq/pull/1176
<7ITAAME6D> [artiq] klickverbot pushed 4 new commits to master: https://github.com/m-labs/artiq/compare/a2ff2cc17366...e165a9a35290
<7ITAAME6D> artiq/master 90c144a David Nadlinger: test_pc_rpc: Remove leftover debug print [nfc]...
<7ITAAME6D> artiq/master bd71852 David Nadlinger: sync_struct: Tweak variable name to avoid confusion with `init` mod action [nfc]
<7ITAAME6D> artiq/master c213ab1 David Nadlinger: sync_struct: Notifier.{read -> raw_view}, factor out common dict update code [nfc]
<GitHub-m-labs> [artiq] klickverbot commented on issue #1176: Merged without the enums-as-PYON-strings change. https://github.com/m-labs/artiq/pull/1176#issuecomment-455817056
<bb-m-labs> build #2279 of artiq-board is complete: Success [build successful] Build details are at http://buildbot.m-labs.hk/builders/artiq-board/builds/2279
<bb-m-labs> build #2280 of artiq-board is complete: Success [build successful] Build details are at http://buildbot.m-labs.hk/builders/artiq-board/builds/2280
<bb-m-labs> build #999 of artiq-win64-test is complete: Success [build successful] Build details are at http://buildbot.m-labs.hk/builders/artiq-win64-test/builds/999
<bb-m-labs> build #2839 of artiq is complete: Success [build successful] Build details are at http://buildbot.m-labs.hk/builders/artiq/builds/2839
X-Scale has quit [Ping timeout: 244 seconds]
X-Scale` has joined #m-labs
X-Scale` is now known as X-Scale