tpb has quit [Remote host closed the connection]
tpb has joined #symbiflow
FFY00 has quit [Ping timeout: 258 seconds]
FFY00 has joined #symbiflow
craigo has quit [Ping timeout: 246 seconds]
hansfbaier has quit [Ping timeout: 272 seconds]
citypw has joined #symbiflow
Degi_ has joined #symbiflow
Degi_ is now known as Degi
Degi has quit [Ping timeout: 246 seconds]
ayazar1 has joined #symbiflow
_whitelogger has joined #symbiflow
proteusguy has quit [Remote host closed the connection]
cr1901_modern has quit [Ping timeout: 260 seconds]
proteusguy has joined #symbiflow
cr1901_modern has joined #symbiflow
infinite_recursi has joined #symbiflow
craigo has joined #symbiflow
ym has joined #symbiflow
infinite_recursi has quit [Quit: https://quassel-irc.org - Chat comfortably. Anywhere.]
infinite_recursi has joined #symbiflow
infinite_recursi has quit [Ping timeout: 246 seconds]
QDX45 has quit [Remote host closed the connection]
kraiskil has joined #symbiflow
infinite_recursi has joined #symbiflow
yeti has quit [Quit: WeeChat 3.0]
infinite_recursi has quit [Ping timeout: 264 seconds]
yeti has joined #symbiflow
kgugala_ has joined #symbiflow
kgugala has quit [Ping timeout: 240 seconds]
kgugala_ has quit [Quit: -a- Connection Timed Out]
kgugala has joined #symbiflow
kgugala has quit [Read error: Connection reset by peer]
kgugala has joined #symbiflow
<lambda> is there anything that can be done about the long build times with the symbiflow toolchain? building my design takes 20 minutes now, that's quite a painful contrast to the 5 minutes with normal yosys+nextpnr :/
<sf-slack> <kgugala> do you know which part is the longest? (synth/pack/plack/route/bitstream_write)?
infinite_recursi has joined #symbiflow
<lambda> I'll time them separately, but I think synth is quite a big part
<infinite_recursi> I need some help generating bitstream from example.v for the first time.
<infinite_recursi> I'm executing these 2 commands
<infinite_recursi> yosys -p 'synth_ice40 -blif example.blif' example.v
<infinite_recursi> nextpnr-ice40 --hx8k --pcf ice40hx8k-evb.pcf example.blif
<infinite_recursi> in sequence, for the 2nd command I get the following error.
<infinite_recursi> ERROR: Error occurred while executing Python script example.blif
<infinite_recursi> .model top
<lambda> infinite_recursi: I don't know if nextpnr takes blif, try `synth_ice40 -json` and `nextpnr-ice40 --json`
<infinite_recursi> Where am I wrong? blif is not a python script
<infinite_recursi> ok
<infinite_recursi> Are you saying to use yosys with output json and then go for nextpnr-ice40 --json ?
<lambda> yes
<infinite_recursi> It ran but can't see output file
<infinite_recursi> nextpnr-ice40 --hx8k --pcf ice40hx8k-evb.pcf --package ct256 example.json
<lambda> you need to use `--json example.json`
<infinite_recursi> Yes, still no output file
<infinite_recursi> Do I need to give --asc example.asc
<infinite_recursi> ?
<lambda> yep
<infinite_recursi> lambda: Thanks, done.
<infinite_recursi> Now how to use icestorm? This I have no clue
<lambda> kgugala: synth 7, pack 1, place 3, route 3, fasm 2, bit ~0 minutes - actually only took ~16 minutes this time, but yeah, synth is the biggest offender
<sf-slack> <kgugala> so synth is mostly yosys
<lambda> 2.5 of those synth minutes are spent in a single opt_expr call after BRAM mapping, not sure what's up with that
<LoneTech> synth can probably be subdivided make-style
<LoneTech> it might run faster with -coarse or -noflatten, analogous to development builds
<infinite_recursi> Found stuff on icestorm, official page is pretty great. :D
<LoneTech> yosyshq link to manual is 404
<lambda> the thing is, running yosys for nextpnr (basically just synth_xilinx; write_json) takes only about a minute, so I'm not entirely sure what synth.tcl does that makes it so slow
<lambda> ah, one difference I can see is that plain synth_xilinx has better BRAM mapping
kraiskil has quit [Ping timeout: 240 seconds]
infinite_recursi has quit [Quit: https://quassel-irc.org - Chat comfortably. Anywhere.]
kgugala__ has joined #symbiflow
kgugala has quit [Ping timeout: 240 seconds]
kgugala has joined #symbiflow
kgugala__ has quit [Ping timeout: 256 seconds]
kgugala_ has joined #symbiflow
kgugala has quit [Ping timeout: 246 seconds]
<lambda> managed to get it down to ~9 minutes, now every part takes 1-2 minutes (with routing probably being the heaviest)
join_sub1ine has joined #symbiflow
join_subline has quit [Ping timeout: 240 seconds]
kgugala has joined #symbiflow
<sf-slack> <kgugala> cool, did you change the synth script or the design?
kgugala_ has quit [Ping timeout: 260 seconds]
join_sub1ine has quit [Ping timeout: 240 seconds]
join_subline has joined #symbiflow
citypw has quit [Remote host closed the connection]
citypw has joined #symbiflow
<lambda> kgugala: I was doing a dumb thing and combining my entire design into a .v (my sources are mostly VHDL, only a few actual verilog files) and feeding that into synth, exporting it as rtlil instead works much better
<lambda> TMDS_33 IOSTANDARD isn't supported yet, right? I can't find any mention of it in prjxray, prjxray-db and arch-defs, but there's also no error during build
citypw has quit [Ping timeout: 268 seconds]
kraiskil has joined #symbiflow
flammit has quit [Ping timeout: 272 seconds]
flammit has joined #symbiflow
DrWhax has quit [Quit: leaving]
craigo_ has joined #symbiflow
craigo has quit [Ping timeout: 260 seconds]
gromero has quit [Remote host closed the connection]
gromero has joined #symbiflow
join_subline has quit [Ping timeout: 240 seconds]
join_subline has joined #symbiflow
join_subline has quit [Ping timeout: 265 seconds]
dnltz has joined #symbiflow
join_subline has joined #symbiflow
umarcor has joined #symbiflow
umarcor|2 has quit [Ping timeout: 246 seconds]
ayazar1 has quit [Quit: ayazar1]
QDX45 has joined #symbiflow
kraiskil has quit [Ping timeout: 240 seconds]
kraiskil has joined #symbiflow
ktemkin has quit [Read error: Connection reset by peer]
ktemkin has joined #symbiflow
daveshah has quit [Ping timeout: 264 seconds]
ric96 has quit [Read error: Connection reset by peer]
daveshah has joined #symbiflow
ric96 has joined #symbiflow
dnltz has quit [Ping timeout: 260 seconds]
join_subline has quit [Ping timeout: 264 seconds]
join_subline has joined #symbiflow
join_subline has quit [Ping timeout: 264 seconds]
<nickoe> Just a quick test with nmigen for the blinky example:
<nickoe> Vivado build time:88.56495332717896 seconds
<nickoe> Symbiflow build time:72.54390025138855 seconds
SmutLord^ has quit [Read error: Connection reset by peer]
SmutLord^ has joined #symbiflow
<_whitenotifier> [sv-tests] caryr opened issue #1315: @ * is valid and should not be excluded in ivtest - https://git.io/Jt4po
kraiskil has quit [Ping timeout: 264 seconds]
xtro has joined #symbiflow
<nickoe> litghost: : so after 23 hours and 20 mintes one presubmit test failed, https://github.com/SymbiFlow/symbiflow-arch-defs/pull/1986
<nickoe> litghost: What is the error?