clifford changed the topic of #yosys to: Yosys Open SYnthesis Suite: http://www.clifford.at/yosys/ -- Channel Logs: https://irclog.whitequark.org/yosys
tpb has quit [Remote host closed the connection]
tpb has joined #yosys
Degi_ has joined #yosys
Degi has quit [Ping timeout: 256 seconds]
Degi_ is now known as Degi
emeb has quit [Quit: Leaving.]
adjtm has joined #yosys
citypw has joined #yosys
npe has quit [Ping timeout: 252 seconds]
craigo has joined #yosys
ebb has quit [Quit: Somebody set up us the bomb]
ebb has joined #yosys
npe has joined #yosys
proteusguy has quit [Ping timeout: 258 seconds]
proteusguy has joined #yosys
npe has quit [Quit: My MacBook has gone to sleep. ZZZzzz…]
npe has joined #yosys
npe has quit [Client Quit]
npe has joined #yosys
npe has quit [Client Quit]
npe has joined #yosys
npe has quit [Client Quit]
npe has joined #yosys
npe has quit [Client Quit]
npe has joined #yosys
npe has quit [Client Quit]
npe has joined #yosys
npe has quit [Client Quit]
npe has joined #yosys
npe has quit [Client Quit]
npe has joined #yosys
npe has quit [Client Quit]
npe has joined #yosys
npe has quit [Client Quit]
npe has joined #yosys
npe has quit [Client Quit]
npe has joined #yosys
npe has quit [Client Quit]
npe has joined #yosys
npe has quit [Client Quit]
npe has joined #yosys
npe has quit [Client Quit]
npe has joined #yosys
npe has quit [Client Quit]
npe has joined #yosys
npe has quit [Client Quit]
npe has joined #yosys
npe has quit [Client Quit]
npe has joined #yosys
npe has quit [Client Quit]
npe has joined #yosys
npe has quit [Client Quit]
npe has joined #yosys
npe has quit [Client Quit]
npe has joined #yosys
npe has quit [Quit: My MacBook has gone to sleep. ZZZzzz…]
npe has joined #yosys
Vinalon has quit [Ping timeout: 260 seconds]
Vinalon has joined #yosys
_whitelogger has joined #yosys
rohitksingh has quit [*.net *.split]
Ultrasauce has quit [*.net *.split]
promach3 has quit [*.net *.split]
kmehall has quit [*.net *.split]
GenTooMan has quit [*.net *.split]
awygle has quit [*.net *.split]
awygle has joined #yosys
GenTooMan has joined #yosys
Ultrasauce has joined #yosys
promach3 has joined #yosys
kmehall has joined #yosys
rohitksingh has joined #yosys
npe has quit [Quit: My MacBook has gone to sleep. ZZZzzz…]
emeb_mac has quit [Quit: Leaving.]
qu1j0t3 has quit [Ping timeout: 250 seconds]
qu1j0t3 has joined #yosys
<pepijndevos> What does a barebones asic synth script look like? Basically just synth and map to a liberty file
<daveshah> Yeah
<daveshah> also a dfflibmap to map DFFs to liberty
<daveshah> See the bottom of
<tpb> Title: Yosys Open SYnthesis Suite :: About (at www.clifford.at)
janrinze has joined #yosys
<pepijndevos> ok, lets goooo
<pepijndevos> it.... just worked??
<pepijndevos> I took a UMC65 liberty file, removed a few items that Yosys chocked on, and synthesized a thing with it.
<daveshah> Cool!
<pepijndevos> All the cell names are so obscure though...
<pepijndevos> https://bpaste.net/LL3A I don't know what *any* of those mean
<tpb> Title: View paste LL3A (at bpaste.net)
<pepijndevos> The main thing Yosys was not happy about was cells without a defined function on their output pins... which makes some amount of sense.
<pepijndevos> I guess I could have used -ignore_miss_func instead
<pepijndevos> Can I pass -ignore_miss_func to abc and dfflibmap?
<pepijndevos> lol, if I pass -prepare to dfflibmap it leaves $_DFF_P_ cells... is that a bug? Docs say it converts to dff types found in the liberty
<daveshah> No, that's the point of -prepare
<daveshah> It converts flops to the closest internal cell type
<daveshah> without actually mapping
<pepijndevos> ah I see
<pepijndevos> hrm, it seems neither abc nor dfflibmap supports -ignore_miss_func
vidbina_ has joined #yosys
janrinze has quit [Remote host closed the connection]
janrinze has joined #yosys
vidbina_ has quit [Ping timeout: 256 seconds]
janrinze has quit [Remote host closed the connection]
ayazar has joined #yosys
Vinalon has quit [Ping timeout: 240 seconds]
X-Scale` has joined #yosys
X-Scale has quit [Ping timeout: 256 seconds]
X-Scale` is now known as X-Scale
futarisIRCcloud has quit [Quit: Connection closed for inactivity]
emeb has joined #yosys
npe has joined #yosys
X-Scale` has joined #yosys
X-Scale has quit [Ping timeout: 258 seconds]
X-Scale` is now known as X-Scale
dys has quit [Ping timeout: 265 seconds]
Vinalon has joined #yosys
npe has quit [Ping timeout: 252 seconds]
citypw has quit [Ping timeout: 240 seconds]
ayazar has quit [Quit: ayazar]
craigo has quit [Ping timeout: 264 seconds]
twnqx has joined #yosys
<lambda> nextpnr-ice40 is having a really hard time with placement right now, only 64% ICESTORM_LC usage and initial placement (with --placer sa) already fails because it can't place one of them :/
<daveshah> Try --dffe_min_ce_use 4 passed to synth_ice40
<daveshah> -dffe_min_ce_use
<daveshah> This usually results from large numbers of FFs with different control sets
<lambda> hah, that does it :D still not sure what caused it to suddenly fail at all, it worked an hour ago and I swear I didn't change anything
<lambda> HeAP doesn't stand a chance with this design, too
<daveshah> What is the context, a lot of set/resets and enables for some reason?
<lambda> SPI to 10x UART, so one giant 80-bit shift register and 10 8-bit shift registers
<lambda> might just be better to run the UART off a divided clock instead of a clock enable though, I think I have some global buffers left over
voxadam_ has joined #yosys
voxadam has quit [Ping timeout: 272 seconds]
vidbina_ has joined #yosys
<lambda> hm, I thought I remembered there being an option --device in nextpnr so I wouldn't have to use "--$(DEVICE)" in build scripts, but apparently not
npe has joined #yosys
npe has quit [Ping timeout: 256 seconds]
<qu1j0t3> 6
<ZirconiumX> 5
<daveshah> 4
<lambda> 3
<awygle> 2
rohitksingh has quit [Ping timeout: 240 seconds]
<qu1j0t3> -2
<awygle> Rude
qu1j0t3 has quit [Ping timeout: 256 seconds]
qu1j0t3 has joined #yosys
az0re has quit [Remote host closed the connection]
npe has joined #yosys
emeb_mac has joined #yosys
az0re has joined #yosys
<awygle> Out of curiosity is libyosys maintained?
<daveshah> It certainly should work, although I don't know how well used it is
<awygle> I see, thanks
<awygle> Does it expose the cpp api or a C wrapper?
<daveshah> C++ API, there is no C wrapper
<daveshah> There is a Python wrapper
<awygle> Huh. Would have thought that'd almost require a C wrapper
<daveshah> Writing a C wrapper for Yosys would be an absolute nightmare
<daveshah> Considering all the different data structures
<awygle> well, sure lol
<awygle> that's why i was interested in if somebody'd done the work already :p
<daveshah> Stuff like the IdString ref counting would be interesting...
az0re has quit [Ping timeout: 240 seconds]
rohitksingh has joined #yosys
vidbina_ has quit [Ping timeout: 264 seconds]
futarisIRCcloud has joined #yosys