clifford changed the topic of #yosys to: Yosys Open SYnthesis Suite: http://www.clifford.at/yosys/ -- Channel Logs: https://irclog.whitequark.org/yosys
emeb_mac has joined #yosys
emeb has quit [Quit: Leaving.]
s_frit has quit [Remote host closed the connection]
s_frit has joined #yosys
proteusguy has quit [Remote host closed the connection]
proteusguy has joined #yosys
gsi__ has joined #yosys
gsi_ has quit [Ping timeout: 240 seconds]
lutsabound has quit [Quit: Connection closed for inactivity]
_whitelogger has joined #yosys
jevinski_ has joined #yosys
jevinskie has quit [Ping timeout: 250 seconds]
pie___ has joined #yosys
pie__ has quit [Ping timeout: 245 seconds]
seldridge has joined #yosys
jevinskie has joined #yosys
rohitksingh_work has joined #yosys
jevinski_ has quit [Ping timeout: 244 seconds]
seldridge has quit [Ping timeout: 250 seconds]
rohitksingh_work has quit [Ping timeout: 240 seconds]
emeb_mac has quit [Quit: Leaving.]
harryinashed has joined #yosys
harryinashed has quit [Client Quit]
dys has quit [Ping timeout: 245 seconds]
indy has quit [Quit: ZNC - http://znc.sourceforge.net]
indy has joined #yosys
leviathanch has joined #yosys
<keesj> https://fosdem.org/2019/schedule/event/trellis_and_nextpnr/ (video online of daveshah's presentation)
<tpb> Title: FOSDEM 2019 - Project Trellis and nextpnr (at fosdem.org)
m4ssi has joined #yosys
proteusguy has quit [Remote host closed the connection]
proteusguy has joined #yosys
ec0 has joined #yosys
xdeller has quit [Read error: Connection reset by peer]
xdeller_ has joined #yosys
seldridge has joined #yosys
s_frit has quit [Remote host closed the connection]
s_frit has joined #yosys
wifasoi has joined #yosys
rohitksingh has joined #yosys
tmiw has quit [Ping timeout: 246 seconds]
tmiw has joined #yosys
wifasoi has quit [Ping timeout: 246 seconds]
seldridge has quit [Ping timeout: 244 seconds]
jevinskie has quit [Quit: My MacBook has gone to sleep. ZZZzzz…]
rohitksingh has quit [Ping timeout: 272 seconds]
X-Scale has joined #yosys
rohitksingh has joined #yosys
danieljabailey has joined #yosys
somlo has quit [Ping timeout: 268 seconds]
seldridge has joined #yosys
emeb has joined #yosys
wifasoi has joined #yosys
somlo has joined #yosys
m4ssi has quit [Remote host closed the connection]
seldridge has quit [Ping timeout: 245 seconds]
wifasoi has quit [Ping timeout: 246 seconds]
seldridge has joined #yosys
<shapr> ZipCPU: students asked if there's a docker image that has all the tools installed
<shapr> might be a good thing for your tutorial
<ZipCPU> shapr: Thanks for the suggestion!
<ZipCPU> SymbioticEDA routinely creates Vagrant+VirtualBox images with all of the tools installed on them, but these are used when teaching the formal verification course. Those images come with a 90 day (?) license for the full Symbiotic EDA suite as well.
<ZipCPU> I've thought about making a docker image, but ... know so little about what would be required to do so that I haven't even tried starting
<shapr> fair enough
dys has joined #yosys
<sxpert> ZipCPU: care to help a beginner ?
<ZipCPU> Sure, I could use a distraction, what's up?
<tpb> Title: hp-saturn/saturn_core.v at master · sxpert/hp-saturn · GitHub (at github.com)
<ZipCPU> Go on
<sxpert> when I launch the compile script, I don't seem to be getting much back out, what am I missing ?
<ZipCPU> "compile script"?
<tpb> Title: hp-saturn/compile at master · sxpert/hp-saturn · GitHub (at github.com)
<sxpert> this compile script
<ZipCPU> Ok
<ZipCPU> Can I ask you to make two changes and then come back and ask again?
<sxpert> sure
<ZipCPU> Change 1. Add: `default_nettype none // to the top of your source code
<ZipCPU> Change 2: Run: verilator -Wall -cc saturn_core.v # on your source code
<ZipCPU> That will find a lot of bugs in your code. When verilator -Wall comes back with no more warnings, then let's see what else might be going on.
<sxpert> ok
<daveshah> sxpert: to get a working ecp5 bitstream, you need to specify both --basecfg and --textcfg to nextpnr
<daveshah> Then use ecppack on the output from textcfg
<tpb> Title: prjtrellis/Makefile at master · SymbiFlow/prjtrellis · GitHub (at github.com)
<sxpert> ZipCPU: there are indeed warnings, and even errors
<sxpert> daveshah: ah, will look at that
<ZipCPU> daveshah: I wasn't expecting that. Can you explain what's going on? Why does nextpnr need the extra information, but only for the ECP5?
<sxpert> (once I have fixed those warnings)
<ZipCPU> sxpert: Verilator's warnings are fairly easy to fix--especially compared to other tools (Cough Vivado cough cough Quartus)
<daveshah> ZipCPU: because there are a few fixed bits needed for ecp5 bitstreams and I haven't got round to hardcoding them
<daveshah> basecfg passes those
<ZipCPU> So the basecfg argument is project independent?
<daveshah> textcfg is the equivalent of --asc for the ice40
<daveshah> ZipCPU: yes, barring some very odd use cases
<sxpert> daveshah: in the example $@ is replaced by attosoc_out.config ?
<daveshah> sxpert: yes
<sxpert> ok
<ZipCPU> If textcfg is the equivalent of --asc, why not use --asc instead?
<daveshah> ZipCPU: because its a different format with a different name
<daveshah> sxpert: also make sure the textcfg you pass corresponds to the right ecp5 variant
leviathanch has quit [Remote host closed the connection]
cr1901_modern has quit [Ping timeout: 245 seconds]
<sxpert> daveshah: ah, looking for one for ULX3S with 85F
<sxpert> then
<sxpert> (which I just received in the mail)
<tpb> Title: prjtrellis/empty_lfe5u-85f.config at master · SymbiFlow/prjtrellis · GitHub (at github.com)
<sxpert> thanks
<sxpert> ZipCPU: I suppose warnings of the "blah is not used" (because it's not implemented yet) are not a problem
<ZipCPU> sxpert: Let me show you how to get rid of them ...
<ZipCPU> At the bottom of your design, but before the endmodule, insert the following lines:
<ZipCPU> / Verilator lint_off UNUSED
<ZipCPU> wire [N-1:0] unused;
<ZipCPU> assign unused = { all of your unused nets};
<ZipCPU> / Verilator lint_on UNUSED
<ZipCPU> You'll need to adjust N to the number of unused wires you have
<ZipCPU> The neat thing about this is that, now when you use some of the bigger tools, they'll warn you about having an unused wire named: unused
<ZipCPU> You can then quickly ignore that warning and go onto any others
<sxpert> ZipCPU: I have something wierd line 142, it says RSTK is not used, but it is at various locations
<ZipCPU> I just searched your design for RSTK
<ZipCPU> It appears to be unused
<ZipCPU> You reset it to zero, but then do nothing more with it
<ZipCPU> There's some code referencing it, but it appears to be commented out
<sxpert> I do assign things to it on line 783
<sxpert> (the contents of PC)
* ZipCPU looks
<ZipCPU> Can you update the github file, so I can see the updates you've made?
<sxpert> push done
<sxpert> (sorry)
* ZipCPU clones hp_saturn
<ZipCPU> Line 873 should have two /'s, not just one--same for line 876
<sxpert> ah, irc ate the first / ;-)
<ZipCPU> You'll also need to adjust the N and the {} lines ... since you hadn't done that, I commented the two out
<ZipCPU> Wow, that is one giant state machine
<ZipCPU> I'm not sure line 306 is right, the case for READ_ROM_STA etc...
<ZipCPU> Several tools have required I use a "begin end" on an empty case
<sxpert> ah
<ZipCPU> Line 438 too
<sxpert> I can add that no pb
<sxpert> (there are a bunch of those)
<ZipCPU> Does anything reference RSTK? Or is it set only?
<sxpert> it will be used when I get to implement the RTN* instructions
sklv has joined #yosys
<ZipCPU> Sure, but then it's an unused register
<ZipCPU> It's not that it isn't set, it's just unused
<daveshah> Also, note the entire design will be optimised away for similar reasons
rohitksingh has quit [Remote host closed the connection]
<sxpert> ZipCPU: ah, ok, that's what it means
<daveshah> Because it has no outputs (other than one that is at a constant value)
<sxpert> so I should connect say the leds, and show parts of a different register at each clock or something ?
<daveshah> Yes, that would be a good solution
<sklv> hi, i need an application which does RW on at least 2 sd cards at the same time, drives an oleds102 spi display, and transfers data over an rs232 port - i am trying to estimate whether this is withing my capability to implement with a verilog mcu - are there any examples of a boards where a yosys soft cpu runs code from external flash?
<ZipCPU> sxpert: Have you seen my logic minimization article? http://zipcpu.com/blog/2017/06/12/minimizing-luts.html You might find it valuable
<tpb> Title: Minimizing FPGA Resource Utilization (at zipcpu.com)
<sklv> s/at the same time/not at the same time/
<sxpert> ZipCPU: not yet
<ZipCPU> sklv: Yes
<sklv> ZipCPU: can you link me please?
<sxpert> ZipCPU: I am trying to get something that works first ^^
<ZipCPU> If by "external flash" you mean a flash chip external to the FPGA, then most definitely yes.
<sklv> that's what i mean yea, but i want the flash to contain CPU code as opposed to FPGA configuration code
<ZipCPU> sklv: How about this one, https://github.com/ZipCPU/icozip
<tpb> Title: GitHub - ZipCPU/icozip: A ZipCPU demonstration port for the icoboard (at github.com)
<ZipCPU> Or this one, https://github.com/ZipCPU/s6soc
<tpb> Title: GitHub - ZipCPU/s6soc: CMod-S6 SoC (at github.com)
<sxpert> sklv: it is my understanding you can have both
<ZipCPU> Or even this one: https://github.com/ZipCPU/openarty
<tpb> Title: GitHub - ZipCPU/openarty: An Open Source configuration of the Arty platform (at github.com)
<sxpert> sklv: depending on the size of said config flash
<ZipCPU> sxpert: For all the flash work I've done, I have yet to come near to even using a half of the flash
<ZipCPU> Most flash devices have been plentiful for me
<ZipCPU> sklv: Most of my designs using flash have used it for both the FPGA configuration as well as for CPU code
<sklv> that's fine
<sxpert> ZipCPU: sounds like a perfect fit for my application's rom code
<ZipCPU> It can be, but do beware: It will take you many cycles to read from the flash
<sxpert> ZipCPU: plan is to read it all to ram on boot
<daveshah> How fast do you need to run at?
<ZipCPU> Here's a good discussion of how the flash impacts a CPU: http://zipcpu.com/zipcpu/2018/03/21/dblfetch.html
<tpb> Title: Pipelining a Prefetch (at zipcpu.com)
<sxpert> ZipCPU: sdram that is
<ZipCPU> sxpert: My OpenArty design reads from flash into SDRAM on boot
<ZipCPU> Although I discuss it more on this page: http://zipcpu.com/zipcpu/2018/02/12/zbasic-intro.html
<tpb> Title: Want to use ZBasic? Let's have some fun--no actual FPGA required! (at zipcpu.com)
<sklv> ZipCPU: so what, artix7 can be handled with an open toolchain now?
<sklv> i thought it was just lattice
<sklv> how new is this just out of interest?
<ZipCPU> sklv: The differences are irrelevant to the toolchain
<sklv> oh it says in the repo
<ZipCPU> sklv: I'm working on a blog entry regarding that repo right now
<ZipCPU> The active work has been taking place within the autoarty branch
<sklv> ok, icoboard looks good for my needs - what about anything with a hand solderable fpga so tqfp qfp qfn ?
* ZipCPU shudders at the word "solder" and runs in the other direction
<ZipCPU> :D
<ZipCPU> sklv: You might need to ask someone else for soldering advice
<daveshah> sklv: have a look at the ice40hx4k in the qfp package or the up5k in the qfn package if you don't want bga
<daveshah> The former is the same silicon as is on the icoboard
<sxpert> sklv: ask Louis Rossmann for soldering advice ;)
<sklv> i don't need soldering advice, i want my fpga in a particular package, although that's been answered anyway :)
* ZipCPU takes a peek to see if it is safe to return to the channel
* sxpert will continue implementing stuff
cr1901_modern has joined #yosys
gsi__ has quit [Ping timeout: 244 seconds]
gsi_ has joined #yosys
oldtopman has quit [Ping timeout: 272 seconds]
citypw has quit [Ping timeout: 268 seconds]
citypw has joined #yosys
develonepi3 has quit [Ping timeout: 240 seconds]
tpb has quit [Remote host closed the connection]
tpb has joined #yosys