clifford changed the topic of #yosys to: Yosys Open SYnthesis Suite: http://www.clifford.at/yosys/ -- Channel Logs: https://irclog.whitequark.org/yosys
<chaseemory> ZipCPU: Would you happen to know how to program the flash on the nexys video with tcl commands?
<ZipCPU> No
<ZipCPU> chaseemory: I've never programmed the flash with tcl commands
* ZipCPU likes to use his own flash driver
<chaseemory> oh nice, i switched to an entirely terminal based FGPA flow at home, after doing the ASIC stuff at school, i probably struggled the most with getting programming to work with a tcl script
<ZipCPU> Originally, I'd only ever program a board once
<ZipCPU> Ever after, I'd use that first program to load new configurations
<ZipCPU> You can find my flash design at http://opencores.org/projects/qspiflash if you are interested
<tpb> Title: Overview :: Quad SPI Flash Controller :: OpenCores (at opencores.org)
<chaseemory> huh, it seems to work in sim, the digging must continue
<ZipCPU> chaseemory: Don't tell me you are getting stuck on my sim/h/w mismatch .. ?
voxadam has quit [Read error: Connection reset by peer]
voxadam has joined #yosys
citypw has joined #yosys
<chaseemory> well, it could be... :^)
chaseemory has quit [Ping timeout: 250 seconds]
chaseemory has joined #yosys
awordnot has quit [Ping timeout: 244 seconds]
awordnot has joined #yosys
citypw has quit [Ping timeout: 258 seconds]
gsi__ has joined #yosys
gsi_ has quit [Ping timeout: 246 seconds]
pie___ has joined #yosys
pie__ has quit [Ping timeout: 259 seconds]
rohitksingh_work has joined #yosys
AlexDaniel has quit [Ping timeout: 268 seconds]
rohitksingh_work has quit [Ping timeout: 272 seconds]
rohitksingh_work has joined #yosys
leviathanch has joined #yosys
gsi__ is now known as gsi_
chaseemory has quit [Quit: chaseemory]
seldridge has quit [Ping timeout: 250 seconds]
<sxpert> daveshah: why does nextpnr puts things very far apart, or close together sometimes, with no apparent reason ? I'm getting 2 small blobs one on the horizontal center line, and one 1/5th down the top, all on the left side
proteusguy has joined #yosys
proteusguy has quit [Read error: Connection reset by peer]
emeb_mac has quit [Ping timeout: 246 seconds]
proteusguy has joined #yosys
ZipCPU has quit [Excess Flood]
ZipCPU has joined #yosys
<tnt> sxpert: random initial placement and then randomly moves stuff around to try and improve timing bit by bit. Most likely there is something like a RAM or a DSP or the IOS or something around those points that makes the other logic "attracted" to ti.
rohitksingh_work has quit [Read error: Connection reset by peer]
rohitksingh_work has joined #yosys
m4ssi has joined #yosys
<keesj> are you guys interested in smaller improvements[tm]? (I am interested in helping out hence tried making a small change and pushing it to the icestorm project https://github.com/cliffordwolf/icestorm/pull/201 but I don't see much happening)
<tpb> Title: Move icestick examples to their own respective directory. by keesj · Pull Request #201 · cliffordwolf/icestorm · GitHub (at github.com)
proteusguy has quit [Remote host closed the connection]
proteusguy has joined #yosys
s_frit has joined #yosys
ovf has quit [Ping timeout: 264 seconds]
ovf has joined #yosys
leviathanch has quit [Remote host closed the connection]
_whitelogger has joined #yosys
rohitksingh_work has quit [Ping timeout: 258 seconds]
rohitksingh_work has joined #yosys
<ZipCPU> keesj: Does this mean you are using Verilog now?
<sxpert> tnt: ah, I see. some better initial placement algorigthm would be in order then
<sxpert> such as, preferably use bram blocks next to each other
<keesj> ZipCPU: I am in the middle of different projects right now I first need to use migen (to play/tweak https://github.com/enjoy-digital/litedram ) hence .. I am ... not where I really want to be (preffer to learn verilog first but .. that is how it goes).
<tpb> Title: GitHub - enjoy-digital/litedram: Small footprint and configurable DRAM core (at github.com)
<keesj> hence.. learning about .v the hard way
<keesj> https://spinalhdl.github.io/SpinalDoc-RTD/ also looks quite tempting I must say.. but first v
<tpb> Title: Welcome to SpinalHDL’s documentation! SpinalHDL documentation (at spinalhdl.github.io)
<keesj> slowwwwly getting there:(
<tnt> sxpert: in the projects where it matters, I now resorted to manually place those :p
<sxpert> ah
<tnt> I think it's a bit inherent to the SA placer that large blocks like RAMs are hard to move because (1) you have few locations (2) since it has lots of connections it's probably hard to find a new location that results in a timing improvement in a single step (i.e. without also moving all the associated logic) and so I'm not sure it's "taken" very often.
<tnt> (but that's just my crude understanding of SA)
rohitksingh_work has quit [Read error: Connection reset by peer]
<sxpert> %Warning-WIDTH: saturn-decoder.v:447: Operator CASE expects 6 bits on the Case expression, but Case expression's VARREF 'i_nibble' generates 4 bits.
<sxpert> what does that mean ??
<sxpert> i_nibble is indeed 4 bits, and all cases are 4 bits...
<sxpert> never mind, some typo
rohitksingh has joined #yosys
promach_ has joined #yosys
<promach_> for yosys-smtbmc, why it does not accept $anyconst to be used for 'parameter' and 'localparam' ?
<promach_> ERROR: Failed to detect width for parameter
<ZipCPU> Yea, ahm ... it doesn't work that way
<ZipCPU> Remember, promach_, you are designing "hardware"
<ZipCPU> parameters can be used to specify the number of wires used in a module, register values cannot adjust that later
<sxpert> ZipCPU: how will something like output reg [3:0] o_mem_load[15:0];
<sxpert> be generated ?
<ZipCPU> It can't be
<ZipCPU> That's a memory
<ZipCPU> You can't pass memories through I/O ports
<sxpert> ah
<sxpert> ok then
<ZipCPU> I've sometimes gotten around that by concatenating the items in the memory together, such as output reg[4*15-1:0] o_mem_load;
<ZipCPU> Internal to the design, you can often then do: for(k=0; k<16; k=k+1) w_mem_load[k] = o_mem_load[k*16 +: 16];
<sxpert> ah
<ZipCPU> Likewise for the same sort of thing in reverse
<sxpert> that will generate whatever logic is required to unload ?
<sxpert> k needs to be declared as [4:0] I suppose ?
<ZipCPU> k is usually declared as either an integer or a genvar
<ZipCPU> Personally, I like the genvar approach better
<sxpert> ah
* sxpert looks what a genvar is
AlexDaniel has joined #yosys
<sxpert> so, a genvar is a virtual signal that gets used by the for, and disappears in the design. ok
<sxpert> as I understand it can only be used within the same event
seldridge has joined #yosys
maikmerten has joined #yosys
tmeissner has joined #yosys
jevinskie has joined #yosys
<tpb> Title: A signed multiply verilog code using row adder tree multiplier and modified baugh-wooley algorithm · GitHub (at gist.github.com)
<promach_> I will work on induction bugs later, just asking for some comments now
rohitksingh has quit [Ping timeout: 250 seconds]
<ZipCPU> I get lost when I get to line 86
<promach_> ZipCPU: look at line 21
voxadam has quit [Read error: Connection reset by peer]
<ZipCPU> Because that makes so much more sense?
voxadam has joined #yosys
<promach_> understanding graphically is easier
<ZipCPU> Sigh
<promach_> huh ?
<promach_> I write code based on that picture
<ZipCPU> Years ago, I remember preparing a presentation for my Ph.D. committee on the work I had done. I had worked hard on it, and was quite proud of it. I had the opportunity to show it to one of my sponsors to get his comments on it. He tore me a new one. His first comment: my graphs had no units on them
<ZipCPU> He then proceeded to go through my graphics, explaining how they looked like "undergraduate" work because they weren't complete in their descriptions
<ZipCPU> The picture you recommend on line 21 doesn't even describe the units it is using. It appears to start with binary, but the '2' digit makes no sense within it
<promach_> hmm... give me some time to make the code comments clearer
<promach_> 2 means two '1'
<ZipCPU> Not without any explanation
<promach_> 1+1 in binary is 10
<promach_> but in the pic, they just use 2
<ZipCPU> But 10 is *very* different from 2
<promach_> sorry
<ZipCPU> So, I'd get torn a new one again for explaining my projects using someone else's pictures
<promach_> I will make the comments clearer during the weekend
tmeissner has quit [Quit: Leaving]
m4ssi has quit [Remote host closed the connection]
rohitksingh has joined #yosys
jevinskie has quit [Quit: My MacBook has gone to sleep. ZZZzzz…]
promach_ has quit [Ping timeout: 258 seconds]
leviathanch has joined #yosys
Cerpin has quit [Ping timeout: 250 seconds]
rohitksingh has quit [Remote host closed the connection]
dys has joined #yosys
leviathanch has quit [Remote host closed the connection]
maikmerten has quit [Remote host closed the connection]
Xark has quit [Ping timeout: 240 seconds]
xdeller__ has quit [Read error: Connection reset by peer]
seldridge has quit [Ping timeout: 250 seconds]
xdeller__ has joined #yosys
Xark has joined #yosys
emeb has joined #yosys
Cerpin has joined #yosys
develonepi3 has joined #yosys
tpb has quit [Remote host closed the connection]
tpb has joined #yosys