clifford changed the topic of #yosys to: Yosys Open SYnthesis Suite: http://www.clifford.at/yosys/ -- Channel Logs: https://irclog.whitequark.org/yosys
kuldeep has joined #yosys
kuldeep has quit [Quit: Its never too late!]
<mwk> what's a reasonable way to cause an elaboration-time error for invalid parameter values? (I'm writing more simulation models for xilinx/cells_sim.v and would like to add some armor plating)
kuldeep has joined #yosys
citypw has joined #yosys
<ZipCPU> An initial block with a $stop command in it
citypw has quit [Ping timeout: 265 seconds]
Jybz has joined #yosys
Jybz has quit [Quit: Konversation terminated!]
nrossi has joined #yosys
citypw has joined #yosys
emeb_mac has quit [Quit: Leaving.]
dys has joined #yosys
<pepijndevos> I think I have lived with a confusion of what GENERIC_IOB considers an input or an output.
kraiskil has joined #yosys
<pepijndevos> daveshah, soooo GENERIC_IOB O is the output of the input buffer, and I is the input to the output buffer. But is INPUT_USED supposed to indicate that the Input buffer is used, or that the input pin of the output buffer is used?
<pepijndevos> Seems the latter
<daveshah> INPUT_USED was supposed to mean it is used as an input
<daveshah> ie the O pin is used
<daveshah> Maybe I messed this up
<pepijndevos> Yea, it seems the other way around. I was creating input pins for my blinky LEDs hehe
citypw has quit [Ping timeout: 276 seconds]
<pepijndevos> parameter \OUTPUT_USED 1
<pepijndevos> parameter \INPUT_USED 0
<pepijndevos> connect \O \clk
<daveshah> Right could you fix that in the packer and PR it?
<pepijndevos> Sure... but... part of me feels it kinda makes sense the way it is.
<pepijndevos> And... I'm confused how this actually happens
<tpb> Title: nextpnr/cells.cc at 6a335411da6eb54f0960eb514c5384e4ae60c3a7 · YosysHQ/nextpnr · GitHub (at github.com)
<pepijndevos> Wait... maybe it's my own fault
<pepijndevos> Yea... remember you told me to do constraints you have to initialise the IOB yourself?
<pepijndevos> Well...
<pepijndevos> I uploaded my blinky, and... it doesn't blink, but it outputs *something* and accepts my bitstream, so that's a pretty good start of the day I'd say.
kraiskil has quit [Ping timeout: 246 seconds]
<daveshah> Very good!
<daveshah> Usually my first tests are output at constant 0 or 1
<daveshah> Then input to output direct
<daveshah> Then a NOT gate
<daveshah> Then it might be worth trying blinky
<pepijndevos> Yea, doing that now.
<pepijndevos> It likes constants less than blinky it seems
<daveshah> Good start, at least that means outputs work
<pepijndevos> No I mean... if I do constants, nothing happens. If I do blinky, it at least lit some random LEDs
<pepijndevos> Well, nothing: all LEDs are off
<pepijndevos> It's doing something really fishy, routing them to a DFF
<pepijndevos> I need to somehow tell Nextpnr about my VCC and VSS nets.
<daveshah> If you don't tell it about them then it will use a LUT
<daveshah> However, I think the LUT init value it uses might be wrong for Gowin
<daveshah> ie just a single 1 for Vcc assuming unused LUT inputs are 0 rather than all 1s
<pepijndevos> Ah, it might actually be an issue with my unpacker, which might not find a LUT with all 1's which are all 0s in the bitstream.
<pepijndevos> But how would I express a constant net?
<pepijndevos> I think I already create VCC and VSS nets for the pips, but they are just normal nets, and nothing outputs to them.
<daveshah> You would need to create a bel with Vcc and Gnd pins that map to those wires
<daveshah> And then get the packer to use that bel rather than creating constant driving LUTs
<daveshah> For now I'd try and get the constant driving LUTs to work
<daveshah> Less efficient, but in the spirit of generic - some FPGAs don't have omnipresent Vcc and Gnd (e.g. ICE40)
<pepijndevos> But a bell that doesn't have a location on the fpga
<pepijndevos> (I actually expand init values by repeating them so 01 would become 0101010101 and 1 becomse 11111111 so that should not be a problem)
<daveshah> You give it an arbitrary one
<daveshah> It's not a real bel
<sorear> am I the only person bothered by using "vcc and gnd" as notation for logic 1 and 0? for all we actually know the ice40 fabric could be active-low internally
<daveshah> It's just a way of accessing the gloval Vcc and Gnd wires
<pepijndevos> Ohi I see
<daveshah> Well, several fpga companies also use this notation...
<daveshah> (and given the schematics in patents I'm pretty sure it isn't...
<pepijndevos> Gowin even mixes VSS and GND
<whitequark> yamaha has a chip with only vss and gnd
<whitequark> no other power pins :)
<pepijndevos> Wait, that's actually odd... their global names are VSS and VCC, mixing BJT and CMOS naming.
<daveshah> Xilinx tends to use Vcc and Gnd
<daveshah> Because their FPGAs are bjt based :p
<pepijndevos> Their primitives are VCC and GND, but the wire name is not.
<pepijndevos> I want a TTL FPGA hehe
<pepijndevos> Ah, spotted the bug
<pepijndevos> Okay! Output works!!
<pepijndevos> PR incoming
<tpb> Title: leftover Q from before slice api change by pepijndevos · Pull Request #352 · YosysHQ/nextpnr · GitHub (at github.com)
<daveshah> Ah, that makes sense. Thanks!
<sorear> whitequark: negative supply?
<whitequark> a typo
<whitequark> should've been VCC
<pepijndevos> Ah, seems like my LUT INIT bits get messed up somewhere.
<pepijndevos> Nextpnr gives me parameter \INIT 2'01, but after unpacking it decodes to parameter \INIT 16'1010101010101010
<pepijndevos> I had the bit order backwards lol
kraiskil has joined #yosys
<pepijndevos> BLINKYYYYYY
<daveshah> \o/
<ZirconiumX> Congrats, pepijndevos!
<ZirconiumX> Of course, this makes you an abyss domain expert now
<pepijndevos> I think there are worse abysses to be a domain expert in.
<sorear> what's the project scope?
<pepijndevos> "do as much stuff as possible within your internship period" I think
<sorear> I mean, what did you just succeed at doing
<sorear> what can you do with open tools today
<ZirconiumX> Blinking an LED on a Gowin GW1N-1
<sorear> end to end or with vendor tool deps?
<pepijndevos> *GW1NR-9
<ZirconiumX> End to end
<pepijndevos> Oh, so I used the Nextpnr generic target for PnR and my own bitstream packer. Only vendor dep is the programmer.
<ZirconiumX> Which honestly doesn't count in my book, because it's almost certainly JTAG of some kind
citypw has joined #yosys
<pepijndevos> Yea, it's exactly that, so probably easy to write custom, but IMO not high-priority.
<pepijndevos> Enumerates as 0403:6010 Future Technology Devices International, Ltd FT2232C/D/H Dual UART/FIFO IC
<ZirconiumX> You could just use openocd
<pepijndevos> ...how?
<whitequark> openocd has a generic ftdi target iirc
<whitequark> you have to configure how it drives the thing, mostly the gpios
<pepijndevos> Has this been done before for ice40 or ecp5 or some other fpga?
<daveshah> Yes, I use it for ECP5
<daveshah> Ecppack can create an SVF file
<pepijndevos> Sweet. Any code/usage I can look at?
<daveshah> This was my first svf generator which might be easier to understand
<tpb> Title: prjtrellis/bit_to_svf.py at master · SymbiFlow/prjtrellis · GitHub (at github.com)
<daveshah> For the ECP5 it was easy as you just send the bitstream as the payload to an SVF command after a few initial setup commands
<daveshah> Not sure how Gowin works
<daveshah> If the vendor tools can create an SVF file then you could work from that
<ZirconiumX> I could probably look at how quartus does it for the CV
<daveshah> I'm sure Quartus can create an SVF, I think I've even done it before
<ZirconiumX> Yep
<daveshah> No idea what that SVF looks like though
<pepijndevos> So this SVF file tells openocd all it needs to program the things?
<ZirconiumX> Basically, yeah; it's a command dump
<pepijndevos> Hmmm, lemme see if the Gowin IDE has anything like that or I need to somehow capture it.
<daveshah> A logic analyser on the JTAG would be the way forward otherwise
<daveshah> Looks like sigrok even has a JTAG decoder
<daveshah> Haven't tried it though
<ZirconiumX> Not necessarily. It's going over USB, so you could Wireshark it
<whitequark> that'd probably be more annoying tbh
<pepijndevos> Yea, but I have wireshark, I misplaced my logic analyser :(((
<ZirconiumX> It does annoy me that Wireshark don't let you build message streams over USB because USB packets are supposed to be independent
* pepijndevos needs a glasgow
<ZirconiumX> We all do
<whitequark> :D
<pepijndevos> Actually... JTAG is kind of a giant gap in my knowledge. It's like this magic thing that "other people" use to debug their chips or something. I also seem to be under the impression it's super hard to use, requires expensive hardware, and gives you super powers.
* pepijndevos programms FPGAs using JTAG FTDI chips without thinking about it
<whitequark> it doesn't require expensive hardware at all
<whitequark> you need, what, five GPIOs?
<whitequark> now, *very fast* JTAG does
<ZirconiumX> Y'know, I'm suddenly very glad Altera changed their SKU naming scheme. I'm sure it wouldn't be confusing to have ecppack and ep5cpack.
<pepijndevos> I don't see any SVF option in Gowin IDE or programmer.
<daveshah> It might be called deployment or similar
<daveshah> Such an option would be used for bulk testing/programming with industrial programmers, for example
<pepijndevos> It's not helpful that the GUI tool doesn't work on my machine at all.
<pepijndevos> All the options: https://paste.ubuntu.com/p/8J9tSYSt58/
<tpb> Title: Ubuntu Pastebin (at paste.ubuntu.com)
FabM has joined #yosys
<daveshah> I wonder what the JTAG 1149 options do compared to the normal program options
citypw has quit [Ping timeout: 240 seconds]
rohitksingh has quit [Ping timeout: 245 seconds]
rohitksingh has joined #yosys
dys has quit [Ping timeout: 252 seconds]
rohitksingh has quit [Ping timeout: 250 seconds]
dys has joined #yosys
dys has quit [Ping timeout: 265 seconds]
dys has joined #yosys
adjtm has quit [Ping timeout: 250 seconds]
freemint has joined #yosys
freemint has quit [Remote host closed the connection]
emeb has joined #yosys
FSM_Dude has joined #yosys
<FSM_Dude> ZirconiumX Hey! It's me again, the guy with the problem on the Mac :)
<FSM_Dude> I figured out my problem! It was totally my fault, so there is nothing wrong in Yosys ;)
<FSM_Dude> Thanks for helping me tho!
<daveshah> What was the problem?
<FSM_Dude> I thought I had removed all my old code, and that I basically was back to no changes to Yosys, but that wasn't the case
<FSM_Dude> in my code I did something which cause the thread_bad_access
<FSM_Dude> So the fault is completely my own mistake :)
<ZirconiumX> Right, okay
<mwk> FSM_Dude: it's not
<mwk> whenever you get yosys to crash on your code, it's a bug in yosys
<mwk> even if the code is total nonsense
<mwk> and you should report such events
<ZirconiumX> mwk: my understanding is this is a modified version of Yosys, so the bug was in the modifications
<mwk> oh
<mwk> okay, fair enough then
<ZirconiumX> And that the version of Yosys FSM_Dude still contained some changes, which caused a crash
<FSM_Dude> Ye, I'm trying to use Yosys for research for my thesis
<FSM_Dude> I'm implementing state encodings which are hardened against 1 bit error or 2 bit errors in the state signal
<mwk> hmm
<mwk> would be nice if we had reasonable state machine support in the first place
<mwk> ah well
<FSM_Dude> Why is the state machine support not reasonable?
<tpb> Title: Feature request: FSM init fixes · Issue #188 · YosysHQ/yosys · GitHub (at github.com)
<mwk> it's not about the init value, btw, that part is actually easy to fix
<FSM_Dude> Hmm okay
<FSM_Dude> I just face one 'big' problem at the moment
<FSM_Dude> I'm trying to add states to the state machine, but that seems to be tricky in Yosys
FabM has quit [Ping timeout: 240 seconds]
<mwk> well, you'd have to parse all the params into something sane, possibly enlarge the state encoding size, add whatever transitions you want, and reencode
FSM_Dude has quit [Ping timeout: 260 seconds]
FSM_Dude has joined #yosys
<FSM_Dude> mwk So what I do now is: add an encoding type to the fsm_recode.cc; like Yosys already has "one-hot" or "binary", I added the functionality of "my_encoding". So now, you can run: fsm_recode -encoding my_encoding.
<FSM_Dude> what my_encoding does, is encode the state signals from the fsm_data in a certain way, and add additional states to the fsm_data's state table. Also I add the necessary transitions.From there on, I continue the synthesis process, but somehow I end up with no logic and cells in the end
<mwk> that's worrying
<mwk> it sounds like it should work, fsm_map doesn't really do anything smart
<FSM_Dude> I tried it my new encoding type on a small FSM
<FSM_Dude> and after running fsm_recode -encoding my_encoding, I ran fsm_info and I printed the fsm to a png
<FSM_Dude> which was the exact result I hoped for
<FSM_Dude> but then continuing the synthesis process, something else seem to fail
<FSM_Dude> on the other hand, I'm quite new to this all, so it might be my incompetence
<mwk> could you show me fsm_info before and after?
FSM_Dude has quit [Ping timeout: 260 seconds]
qu1j0t3 is now known as OK_b00m3r
dys has quit [Ping timeout: 276 seconds]
<ZirconiumX> daveshah: So, I copied synth_intel and modified it a bit to be called synth_intel_alm, but Yosys does not seem to be picking it up, so I've evidently missed a step.
<daveshah> Are you actually building the file?
<mwk> makefile?
<daveshah> haha snap
<ZirconiumX> OBJS += techlibs/intel/synth_intel.o techlibs/intel/synth_intel_alm.o
<ZirconiumX> [ 82%] Building techlibs/intel/synth_intel_alm.o
<ZirconiumX> Yes :P
<tpb> Title: yosys/synth_intel.cc at master · YosysHQ/yosys · GitHub (at github.com)
<ZirconiumX> Yes, it is
<tpb> Title: yosys/synth_intel.cc at master · YosysHQ/yosys · GitHub (at github.com)
<ZirconiumX> The answer is that once again, I'm an idiot
<ZirconiumX> I was running `yosys -p "help synth_intel_alm"`, and forgot a `sudo make install` first
<ZirconiumX> -- Running command `help synth_intel_alm' --
<ZirconiumX> synth_intel_alm [options]
<ZirconiumX> This command runs synthesis for ALM-based Intel FPGAs.
<ZirconiumX> Yay
<daveshah> We've all been there
<ZirconiumX> The next question is: can I actually compile anything with it?
<ZirconiumX> Answer: yes, but it then crashes kind of oddly.
<ZirconiumX> I'm probably being an idiot again
<ZirconiumX> Yep.
<daveshah> Oh BTW,the Yosys makefile strips binaries before installing them
<daveshah> so for dev it's usually best not to use installed yosys
<ZirconiumX> Yep, those commands get printed from the Makefile
<daveshah> at least if you want decent debug info
Jybz has joined #yosys
Jybz has quit [Client Quit]
<cr1901_modern> Modern gcc does something similar, except the Makefile command to install stripped binaries to --prefix is "install-strip" instead of "install". So they got it _half_ right.
<ZirconiumX> Right, the test run seems to work. Now to adapt my script pass for this.
Jybz has joined #yosys
bobzoidting has joined #yosys
adjtm has joined #yosys
Jybz has quit [Quit: Konversation terminated!]
dys has joined #yosys
<ZirconiumX> How much more efficient is cmp2lut compared to letting ABC figure it out? Has anybody tried to quantify that?
<daveshah> the problem isn't abc per se, but yosys using carries
<daveshah> that then limit abc's optimisation
<whitequark> cmp2lut should not exist
<whitequark> instead lut mapping should be able to use whiteboxes
<daveshah> but this is more about optimisation than mapping
<daveshah> whitebox aware fine grain optimisation would eliminate it
<ZipCPU> daveshah: Have you ever used any of the DELAYF elements/
<ZipCPU> ?
<whitequark> would it?
<daveshah> or more, combined optimisation and mapping
<daveshah> not so much cmp2lut, but the example I think of is (7-x) on a 8-input mux select input
<daveshah> If you don't have hard blocks in the way, you can optimise and map the mux to LUTs with the data inputs reversed
<daveshah> perhaps I'm not explaining this well
<ZipCPU> Looks like I jumped in in the middle of a conversation
<daveshah> but the core problem isn't just local mapping of the hard blocks to logic
<daveshah> there's also the bigger decision where hard blocks prevent optimisation opportunities elsewhere
<daveshah> it's certainly an interesting thing to think about and something that could well be done better than abc does it
<daveshah> ZipCPU: yes, I have
<daveshah> at least in litedram
<tpb> Title: litedram/ecp5ddrphy.py at master · enjoy-digital/litedram · GitHub (at github.com)
<daveshah> this uses one of the built in delay modes
<ZipCPU> Is there any particular trick to it? I'm trying to adjust one and ... it doesn't seem to be adjusting. Do need to hold the MOVE pin high for any particular length of time for example?
* ZipCPU opens the link
<daveshah> I don't know, tbh
<daveshah> I've only ever used fixed delays
<daveshah> I believe it is edge triggered on move
<daveshah> I presume you have LOADN high?
<ZipCPU> Yes.
<daveshah> worth noting the step size is pretty small - something like 60ps
<tpb> Title: zipversa/ecpnetdly.v at master · ZipCPU/zipversa · GitHub (at github.com)
<ZipCPU> It doesn't ever seem to be setting the CFLAG high when I raise the delay
<daveshah> It is possible there is a trellis bug here
<ZipCPU> Any suggestions?
<daveshah> Hang on, I'll have a look
<ZipCPU> Thanks!
<daveshah> Yes, I can see a missing database bit
<ZipCPU> Also, should the parameter values show up in the json file? DEL_MODE and DEL_VALUE?
<janrinze> daveshah: in nextpnr the posedge to posedge of the global clk is 9.9 ns yet nextpnr tells me the max frequency is 70.61 Mhz. how does that work? does it add the I/O async times?
emeb_mac has joined #yosys
<daveshah> ZipCPU: no, not if they are not assigned values
<daveshah> janrinze: hmm, might be a bug - can you post the path report?
<ZipCPU> Ok
<ZipCPU> The path report? You mean the log from running nextpnr-ecp5?
<daveshah> That was for janrinze :)
<janrinze> will do
<ZipCPU> Oh, sorry, that was to janrinze ;)
<janrinze> :D
<daveshah> I've found what I think is the delay issue. I just need to patch trellis and nextpnr now...
<ZipCPU> Thanks!
rohitksingh has joined #yosys
emeb_mac has quit [Quit: Leaving.]
<tpb> Title: how to read the path report. · Issue #354 · YosysHQ/nextpnr · GitHub (at github.com)
<daveshah> ZipCPU: can you try again with latest nextpnr and trellis (if it still fails, then I'll look further on hardware tomorrow)
<ZipCPU> Absolutely! Thanks!
<janrinze> daveshah: where do i send the json?
<janrinze> daveshah: and the cpu runs at.. 96MHz without errors :D
<daveshah> Either attach it to the issue, zipped, or email it to me at dave@ds0.me
<daveshah> That's probably more to do with the margin at room temperature with a decent die than anything else...
stzsch has quit [Ping timeout: 252 seconds]
<janrinze> daveshah: 35% faster is within 'normal' range? I have not been able to 'overclock' designs before with the HX8K but if ECP5 is more lenient then that's nice to know.
<daveshah> It certainly seems doable
<daveshah> Maybe iCE40 devices have less margin
<daveshah> What speed grade is your ECP5 BTW? I have a suspicion that if Lattice have too many good -8 devices then they'll just sell them as -6 as artificial market segmentation
<janrinze> daveshah: it's a -8
<daveshah> That would get you at least 35% if that happened
<daveshah> Oh, nevermind that idea then
<janrinze> do they have a -10? ;D
<daveshah> Well, they have the 5G parts
<janrinze> it's a 5G
<daveshah> Ah, they are like a -9 already because of the overvoltage
<daveshah> but that is taken account of already in the numbers
<janrinze> I use the lattice ecp5 board. (the one without the PCIe connector)
<janrinze> uploaded the json file.
<janrinze> i'm going to test 110 MHz too :-)
<janrinze> ok. that's too much for the monitor.
nrossi has quit [Quit: Connection closed for inactivity]
<daveshah> janrinze: Have pushed a fix to nextpnr master
<daveshah> unfortunately the path was wrong, the 68MHz was correct...
<janrinze> it will take a while to build. I will keep am eye out on the margins allowable for this ecp5. 68MHz vs 96MHz is nice to keep in mind. Of course just for fiddling, not for stable.
kraiskil has quit [Ping timeout: 240 seconds]
<ZipCPU> daveshah: nextpnr is now crashing on an assertion_failure, in nextpnr.h:340
<daveshah> ZipCPU: can you get a backtrace with gdb/coredumpctl/etc?
<daveshah> It is possible this is from another recent change
<ZipCPU> It says, "terminate called after throwing an instance of nextpnr_ecp5::assertion_failure what(): Assertion failure: is_string (/home/dan/work/rnd/opencores/tools/nextpnr/common/nextpnr.h:340)
<daveshah> ZipCPU: can you run `gdb --args nextpnr-ecp5 --json .....`, `run` and then print the stack trace pointing to where the assertion failure is?
<ZipCPU> Working on it
<daveshah> s/print/send
<daveshah> Cheer
<daveshah> *Cheers
<ZipCPU> (Was trying to do it with ddd ...)
<ZipCPU> daveshah: Here's the trace: https://imgur.com/tT9r4aG
<tpb> Title: Imgur: The magic of the Internet (at imgur.com)
<ZipCPU> I still have ddd up, in case you want to query anything
rohitksingh has quit [Ping timeout: 250 seconds]
<daveshah> No, on it now
<daveshah> ZipCPU: try updating again (just nextpnr this time, trellis hasn't changed)
<ZipCPU> Got it
rohitksingh has joined #yosys
d__ has joined #yosys
bobzoidting has quit [Read error: Connection reset by peer]
X-Scale has quit [Ping timeout: 240 seconds]
<ZipCPU> daveshah: That's closer. Now I'm getting an incompatible IO voltage warning the project was never getting before.
<daveshah> What is the message?
<ZipCPU> ERROR: Error processing 'gpio_clk_scli': incompatible IO voltages 2V5 and 3V3 on bank 2.0 warnings, 1 error
<ZipCPU> gpio_clk_scli isn't a name used (by me) anywhere in my design
<daveshah> Is it present in your LPF file?
<daveshah> If it isn't, it really shouldn't have even got that far
<ZipCPU> It's not present anywhere in my RTL directory: JSON, design, etc.
<ZipCPU> Ok, gpio_clk_scl is present in the design
<ZipCPU> Specifically, I have a wire named io_gpio_clk_scl. I'll need to chase that down I guess
<ZipCPU> Give me a bit with that
<daveshah> I'm still confused where the extra "i" is coming from
<ZipCPU> Yes. You and me both
<daveshah> Can you post the JSON and LPF?
<ZipCPU> Sure ... how do you want them posted?
<daveshah> Zip them and upload them to somewhere?
<daveshah> google drive or similar
<daveshah> Oh, I see
<daveshah> You have an IO buffer called gpio_clk_scli
<tpb> Title: zipversa/toplevel.v at 83d7ad0a6d83fb457d217723c1bf341b21722b52 · ZipCPU/zipversa · GitHub (at github.com)
<daveshah> But it's in a mess because the BB isn't connected to a proper top level pin
<daveshah> this should be .B(io_gpio_clk_scl) io_gpio_clk_scl
<daveshah> * .B(io_gpio_clk_scl)
<tpb> Title: zipversa/toplevel.v at 83d7ad0a6d83fb457d217723c1bf341b21722b52 · ZipCPU/zipversa · GitHub (at github.com)
<daveshah> and the instance below
<daveshah> B is the name of the top level pad port, not O
<daveshah> (O is the output from the input buffer)
<ZipCPU> Ahhh ... okay
<ZipCPU> So ... just swap .O with .B?
<daveshah> Yep
<ZipCPU> No, that's not quite it
<ZipCPU> ... but I think I see what's going on
<daveshah> Anyway, BB should have B connected to a top level pin
<ZipCPU> Got it
<daveshah> Due to some historical issues it is hard to enforce this as a check
<ZipCPU> Lol
<ZipCPU> Someday, we'll convert those to histerical issues
<ZipCPU> daveshah: Now, there's: terminate called after throwing an instance of 'std::runtime_error'\n what(): no enum named 'IOLOGICD.LOADNMUX'
<daveshah> Are you sure that Trellis and its database submodule updated?
<ZipCPU> Well, there was a push to "Bump database" since I lats built, so let me try again
<ZipCPU> Okay, looks like I'm getting the error from libtrellis' ecppack ... rebuilding that one again now
<ZipCPU> Okay, I rebuilt it completely and ... it's still dying in ecppack with the aforementioned error
emeb has quit [Quit: Leaving.]
<daveshah> Is ecppack make installed, and the correct ecppack being used?
<ZipCPU> Yes. It is installed via make
<ZipCPU> ... and I'm using the correct/installed ecppack
<daveshah> Can you grep for LOADNMUX in /usr/local/share/trellis/database?
<ZipCPU> Okay ...
<daveshah> Or /usr/share depending on where it is installed?
<ZipCPU> The string isn't present
<ZipCPU> That means I need to rebuild the database, then, right?
<daveshah> No, this isn't built
<daveshah> It's just cloned and copied
<daveshah> It is more likely that the database submodule in prjtrellis isn't up to date
<daveshah> Or that make install didn't work for some reason
<ZipCPU> I think I have a submodules problem
<ZipCPU> "git pull" in prjtrellis/database just made a whole lot of changes
<daveshah> That sounds promising. make install libtrellis again and ecppack should succeed
<ZipCPU> Yep!
<ZipCPU> Now ecppack completes without error. Let me rebuild the design from scratch and make sure all the pieces work
<ZipCPU> Yaaayyyyy!!!! I'm not getting bad network CRCs anymore! Thanks, daveshah!
tpb has quit [Remote host closed the connection]
tpb has joined #yosys