clifford changed the topic of #yosys to: Yosys Open SYnthesis Suite: http://www.clifford.at/yosys/ -- Channel Logs: https://irclog.whitequark.org/yosys
_whitelogger has joined #yosys
rohitksingh has joined #yosys
Ultrasauce has quit [*.net *.split]
Xiretza has quit [*.net *.split]
srk has quit [*.net *.split]
grummel has quit [*.net *.split]
Ultrasauce has joined #yosys
grummel has joined #yosys
srk has joined #yosys
dh73 has quit [Quit: Leaving.]
emeb has quit [Quit: Leaving.]
s_frit has quit [Read error: Connection reset by peer]
s_frit has joined #yosys
ZipCPU has joined #yosys
attie has joined #yosys
attie has quit [Ping timeout: 248 seconds]
dh73 has joined #yosys
dh73 has quit [Quit: Leaving.]
rektide has quit [Ping timeout: 252 seconds]
rektide has joined #yosys
s_frit has quit [Remote host closed the connection]
s_frit has joined #yosys
X-Scale has quit [Ping timeout: 250 seconds]
X-Scale` has joined #yosys
X-Scale` is now known as X-Scale
m4ssi has joined #yosys
jakobwenzel has joined #yosys
s_frit has quit [Remote host closed the connection]
s_frit has joined #yosys
dys has joined #yosys
<mkurc> ZirconiumX: The solution that you suggested 'assign reset_val = (input_reset === 1'b0) ? 1'b0 : 1'b1' is not suitable for me. If I write such a statement in the techmap then it makes Yosys infer $mux cell.
<mkurc> ZirconiumX: I need a way to determine whether a signal is unconnected inside a generate statement. The condition has to be constant during synthesis.
dys has quit [Ping timeout: 250 seconds]
dys has joined #yosys
<ZirconiumX> mkurc: But as far as I can tell, Yosys can't do that at present, presumably because nobody thought you'd need to check for a port being disconnected.
<whitequark> I think there is
<whitequark> _TECHMAP_CONST{MSK,VAL}_ and _TECHMAP_CONNMAP_<PORT>_ can do this, no?
<whitequark> of course, it's yosys-specific
<ZirconiumX> We tried that
<whitequark> ah
<ZirconiumX> Actually, not CONNMAP.
<ZirconiumX> Maybe worth trying that, mkurc
fsasm has joined #yosys
jakobwenzel has quit [Quit: jakobwenzel]
jakobwenzel has joined #yosys
<daveshah> Verilog does support default port values (eg input wire rst = 1'b1)
<daveshah> But I don't know if this works with techmap
attie has joined #yosys
rohitksingh has quit [Ping timeout: 248 seconds]
pepijndevos[m] has quit [Ping timeout: 245 seconds]
promach3 has quit [Ping timeout: 250 seconds]
nrossi has quit [Ping timeout: 248 seconds]
fevv8[m] has quit [Ping timeout: 246 seconds]
d0nker5 has quit [Ping timeout: 248 seconds]
d0nker5 has joined #yosys
emeb has joined #yosys
nengel has joined #yosys
attie has quit [Ping timeout: 268 seconds]
nengel is now known as attie
dh73 has joined #yosys
m4ssi has quit [Remote host closed the connection]
fsasm has quit [Ping timeout: 268 seconds]
dys has quit [Ping timeout: 248 seconds]
<develonepi3> mmicko Have you used Yocto? I have a Ubuntu system builds rpi4 which creates deb packages and rpi4-64 which creates rpm package. This appears to be a pretty good feature. Now if you I can just get nextpnr, yosys, and arachne-pnr to build. Yosys compiles but is getting a QA error Unable to recognise the format on yosys. Nextpnr I need to learn how to execute cmake -DARCH=ice40 . in a recipe. Makefiles just use oe_runmake.
<develonepi3> mmicko I now have icestorm_0.1+git0+041c075e4a-r0_armhf.deb or icestorm-0.1+git0+041c075e4a-r0.aarch64.rpm
<develonepi3> mmicko arachne-pnr I need to fix the use of sum which is in the Makefile. The sum which is in coreutils & busybox.
d0nker5 has quit [Ping timeout: 252 seconds]
nrossi has joined #yosys
promach3 has joined #yosys
pepijndevos[m] has joined #yosys
fevv8[m] has joined #yosys
X-Scale has quit [Ping timeout: 255 seconds]
X-Scale` has joined #yosys
X-Scale` is now known as X-Scale
Jybz has joined #yosys
attie has quit [Ping timeout: 252 seconds]
meawoppl has joined #yosys
<meawoppl> heyo heyo
<meawoppl> I have another small issue to report
<meawoppl> *two small issues
<meawoppl> I think using yosys as a total n00b to verilog has help me turn up the weird
<meawoppl> bug #1:
<meawoppl> bus assignment is ignored in weird ways:
<meawoppl> `thing[7:0] <= otherThing[7:0];`
<meawoppl> bug #2:
<meawoppl> my IDE automatically adds:
<meawoppl> `endmodule : ModuleName` which yosys seems to dislike...
<meawoppl> vs. just `endmodule`
<daveshah> Not sure about #2, I'd have to check the standard. Can you explain #1 better?
<meawoppl> yeah, there are a bunch of permutations that seem to be quietly ignored:
<meawoppl> so I think the vaslid way to do this is w/o the array notation:
<meawoppl> `thing <= otherThing[7:0];` Which seems to work as expected
<meawoppl> `thing <= otherThing[0:7];` is ignored (no bus endian swap?)
<meawoppl> I am not sure which are/are not legal
* ZirconiumX is very glad they're not a language lawyer who has to deal with these kinds of questions
<daveshah> I don't think that does a bus endian swap
<meawoppl> I think it isn't valid honestly, but it does get treated somehow strangly under the hood vs. a raised syntax exception or similar
Jybz has quit [Quit: Konversation terminated!]
Xiretza has joined #yosys
rohitksingh has joined #yosys
cr1901_modern has quit [Quit: Leaving.]
rohitksingh has quit [Ping timeout: 248 seconds]
rohitksingh has joined #yosys
rohitksingh has quit [Ping timeout: 265 seconds]
adjtm_ has joined #yosys
adjtm has quit [Ping timeout: 265 seconds]
rohitksingh has joined #yosys
cr1901_modern has joined #yosys
klotz has joined #yosys
TD-Linux has quit [*.net *.split]
TD-Linux has joined #yosys
rohitksingh has quit [Ping timeout: 268 seconds]
meawoppl has quit [Remote host closed the connection]
rohitksingh has joined #yosys
tpb has quit [Remote host closed the connection]
tpb has joined #yosys