<xobs> mithro: I really need to get a dev board that I can actually use...
rohitksingh has quit [Ping timeout: 240 seconds]
sajattack has joined #litex
<futarisIRCcloud> xobs: What dev board(s) do you have?
<xobs> futarisIRCcloud: fomu. I've also got a HaD proto2 badge that chews through batteries. Guess I've also got a Betrusted.
afc has joined #litex
afc is now known as Guest91015
Guest91015 has quit [Remote host closed the connection]
entropico has joined #litex
entropico has quit [Remote host closed the connection]
<xobs> Well I managed to strip a bunch of stuff out of the litex bios, so now I have it fitting into the 8 kB of ROM we have. Now to try and replicate mithro's issue.
<xobs> mithro: Yeah, I am seeing that writing into "uart_rxtx" isn't causing any data to appear in "uart_xover_rxtx".
<xobs> mithro: scratch that, it looks like it's working if I actually let the build finish, and upload a gateware that actually has the crossover port in it!
rohitksingh has joined #litex
freemint has quit [Remote host closed the connection]
freemint has joined #litex
entropica has joined #litex
rohitksingh has quit [Ping timeout: 248 seconds]
freeemint has joined #litex
freemint has quit [Ping timeout: 260 seconds]
atommann has joined #litex
<xobs> Weird bugs. Now I'm tracking down a Windows-only panic that seems to be caused by a race condition in the terminal.
<xobs> No, not a race condition. It just panics if you don't capture the mouse on Windows.
entropica has quit [Remote host closed the connection]
freemint has joined #litex
freeemint has quit [Read error: Connection reset by peer]
freemint has quit [Remote host closed the connection]
freemint has joined #litex
massi_ has joined #litex
freemint has quit [Remote host closed the connection]
freemint has joined #litex
<xobs> Ooh, also found an issue in Windows Terminal Preview that causes the cursor to go to (0,0) on exit. That doesn't happen in cmd.exe or powershell. I'll have to report that one.
massi_ has quit [Remote host closed the connection]
<sajattack> what is lxterm supposed to do after `[LXTERM] Starting....` when loading linux?
<sajattack> it seems to hang there but I can't be sure
<sajattack> nevermind
<sajattack> I didn't realize the linux image build was separate from the gateware build
<sajattack> could use a progress bar :P
freemint has quit [Ping timeout: 248 seconds]
CarlFK has quit [Read error: Connection reset by peer]
CarlFK has joined #litex
<sajattack> how would I go about copying files to linux over uart?
<sajattack> perhaps easiest way would be to modify rootfs.cpio?
_whitelogger_ has joined #litex
<Claude> sajattack , If you are lucky the sz and rz commands are available. That's z modem send and receive .
<sajattack> no dice
<Claude> cat then :)
<sajattack> what's the uart device called?
<sajattack> inside linux
<Claude> Transferring files using serial console - Krzysztof Adamski (https://k.japko.eu/serial-transfers.html)
<tpb> Title: Transferring files using serial console - Krzysztof Adamski (at k.japko.eu)
<sajattack> ok I'll try that
<_florent_> andresfcalderon: your board support seems fine, can you try using lxterm instead of flterm? https://github.com/enjoy-digital/litex/blob/master/litex/tools/litex_term.py or lower the UART baudrate?
<tpb> Title: litex/litex_term.py at master · enjoy-digital/litex · GitHub (at github.com)
<_florent_> sajattack: good that you got Linux booting, i'll review your PR
<sajattack> thanks
<sajattack> Claude: I can't get minicom to work, can I do it with picocom?
<Claude> don't know sajattack
<sajattack> thanks for the merge _florent_
<sajattack> want my linux-on-litex changes too? or wait until I get vga going?
<_florent_> sajattack: yes sure, you can create a PR for the linux-on-litex-vexriscv too, i just renamed SDRAMSoC to MiSTerSDRAMSoC to make it clear this is using the MiSTer SDRAM extension, so you will just need to update that
<_florent_> we could merge the vga after when it will be workign
<sajattack> ok cool
<mithro> _florent_ / xobs: Have you noticed that the BIOS recently got a lot bigger?
<tpb> Title: Add DE10 Nano using MiSTer SDRAM add-on board by sajattack · Pull Request #92 · litex-hub/linux-on-litex-vexriscv · GitHub (at github.com)
<xobs> sajattack: I did a horrible thing by gzipping files and then doing "cat > file.b64; base64 -d file.b64 > file.gz; gunzip file.gz"
<xobs> mithro: In order to get it to fit into 8 kB, I used small crc16/crc32, disabled `number()` (by having it print out 'x' instead), and removed `memtest`.
<_florent_> mithro: no, i haven't noticed BIOS got a lot bigger recently, but haven't been looking at the numbers, i just know that default rom size is still fine
<_florent_> sajattack: thanks, merged
<sajattack> 🎉
_whitelogger has joined #litex
<tumbleweed> so, _florent_ is https://github.com/timvideos/litex-buildenv/pull/310 correct? That seems to be a change from 0x40000000 to 0xe0000000, or is that offset being applied somewhere else that I don't see?
<tpb> Title: shadow_base was replaced by base_address by stefanor · Pull Request #310 · timvideos/litex-buildenv · GitHub (at github.com)
<_florent_> tumbleweed: yes this is fine. Note that is you are using upstream LitePCIe, you can even remove the lambda a: 1, since i added it as default decoder
<tumbleweed> OK, now the board is showing up with the wrong PCI device ID
<tumbleweed> I'm not sure where that's defined
<tumbleweed> yeah, I'll tidy that up
<tpb> Title: litepcie/config.h at master · enjoy-digital/litepcie · GitHub (at github.com)
<_florent_> do you have 7021?
<mithro> _florent_: PTAL at https://github.com/enjoy-digital/litex/pull/369/files -- I've rewritten it
<tpb> Title: WIP: Attempt at doing a better add_memory_region by mithro · Pull Request #369 · enjoy-digital/litex · GitHub (at github.com)
<_florent_> mithro: thanks, the usage seems closer to what i had in mind than your previous PR and the implementation is probably better than my solution, i'll will review it and test it
freemint has joined #litex
<mithro> _florent_: I still need to actually make it work
<mithro> _florent_: But wasn't going to spend time making it work if it still horrified you :-P
<tumbleweed> _florent_: how does that get into the gateware?
<tumbleweed> and yes, I was getting 7021
<xobs> mithro: for uart, what sort of failure are you seeing?
<mithro> xobs: More it wasn't working and I wasn't sure why
<xobs> Oh. Using a recent wishbone-tool and a recent litex?
<tumbleweed> _florent_: 02:00.0 Memory controller: Xilinx Corporation Device 7021
<tpb> Title: software: add support for X1/X2/X4 default Xilinx Device IDs · enjoy-digital/litepcie@061418c · GitHub (at github.com)
<_florent_> i changed the way LitePCIe wraps the PHY recently and it's now using 7021 for the Gen2 X1 config and 7024 for the Gen2 X4
<tumbleweed> aha, thanks
<tumbleweed> OK, so the same driver should work with either ID
<tumbleweed> _florent_: the next thing I got was pci_read_config_byte(pdev, PCI_REVISION_ID, &rev_id) -> 0
<tumbleweed> and if I hack around that, I get a grumpy kernel: https://paste.debian.net/1128476/
<tpb> Title: debian Pastezone (at paste.debian.net)
<tpb> Title: litex-buildenv/software/hdmi2pcie/kernel at master · timvideos/litex-buildenv · GitHub (at github.com)
freemint has quit [Remote host closed the connection]
freemint has joined #litex
<_florent_> tumbleweed: sorry, i would need to have a closer look to understand what is going on. BTW, i put a few days ago a new driver there: https://github.com/enjoy-digital/netv2/tree/master/software and validated it with the NeTV2
<tpb> Title: netv2/software at master · enjoy-digital/netv2 · GitHub (at github.com)
<tumbleweed> _florent_: yeah kgugala was saying he had been hacking on that in the netv2 repo, and things were working
<Claude> _florent_: regarding the ddr3 on ecp5 . Indeed it seems that the ODT Pin is on a static 1 level . This means the ddr3 chip always terminates the DQ bus
<Claude> This could explain my heat/high current draw
<Claude> _florent_: yes I can confirm . I pulled odt on the ddr3 chip permanent low (odt disabled , selected by the chip mode registers ) and my current draw is 200mA less and heat much lower
<_florent_> mithro, kgugala: linux booting in simulation with LiteDRAM and the DFI model pre-initialized with the binaries: https://github.com/litex-hub/linux-on-litex-vexriscv/issues/84#issuecomment-580739903
<tpb> Title: sim: use SDRAM DFI model for simulation · Issue #84 · litex-hub/linux-on-litex-vexriscv · GitHub (at github.com)
<_florent_> Claude: interesting! Could you eventually create an issue on https://github.com/enjoy-digital/litedram/issues for this?
<tpb> Title: Issues · enjoy-digital/litedram · GitHub (at github.com)
somlo has quit [Remote host closed the connection]
somlo has joined #litex
<kgugala> _florent_: awesome
freemint has quit [Remote host closed the connection]
freemint has joined #litex
somlo has quit [Ping timeout: 260 seconds]
somlo has joined #litex
CarlFK has quit [Remote host closed the connection]
CarlFK has joined #litex
<tpb> Title: Add DE10 Nano using MiSTer SDRAM add-on board by sajattack · Pull Request #92 · litex-hub/linux-on-litex-vexriscv · GitHub (at github.com)
m4ssi has quit [Remote host closed the connection]
freemint has quit [Remote host closed the connection]
freemint has joined #litex
<sajattack> lol did I fall on the paste key?
<sajattack> oh, it was up arrow plus enter
<sajattack> sorry
<sajattack> I guess I shouldn't go to bed with my laptop if I'm gonna be sleep-pinging ppl
atommann has left #litex [#litex]
rohitksingh has joined #litex
rohitksingh has quit [Ping timeout: 268 seconds]
andresfcalderon has quit [Remote host closed the connection]
freeemint has joined #litex
freemint has quit [Read error: Connection reset by peer]
freeemint has quit [Read error: Connection reset by peer]
freeemint has joined #litex
andresfcalderon has joined #litex
freemint has joined #litex
freeemint has quit [Read error: Connection reset by peer]
<scanakci> atommann: it may be related to clock frequency that you have used. You can try to use a higher clock frequency. If you check logs, I had a similar problem in the past and using a higher frequency helped me pass memory tests with vexriscv.
<scanakci> today I had a chance to present Litex and BlackParrot on BARC (https://bostonarch.github.io/2020/). In case you @_florent_ get some questions after today related to presentation&Litex, do not be surprised :)
<tpb> Title: BARC 2020 (at bostonarch.github.io)
<_florent_> scanakci: ah ok thanks. Are the slides of the presentation available?
<scanakci> not sure if they will make them publicly available. I can share it if they do not
freemint has quit [Ping timeout: 248 seconds]
tpb has quit [Remote host closed the connection]
tpb has joined #litex