clifford changed the topic of #yosys to: Yosys Open SYnthesis Suite: http://www.clifford.at/yosys/ -- Channel Logs: https://irclog.whitequark.org/yosys
tpb has quit [Remote host closed the connection]
tpb has joined #yosys
emeb has quit [Quit: Leaving.]
citypw has joined #yosys
dh73 has joined #yosys
dh73 has quit [Ping timeout: 246 seconds]
Degi has quit [Ping timeout: 260 seconds]
Degi_ has joined #yosys
Degi_ is now known as Degi
X-Scale` has joined #yosys
X-Scale has quit [Ping timeout: 256 seconds]
X-Scale` is now known as X-Scale
futarisIRCcloud has joined #yosys
X-Scale has quit [Quit: HydraIRC -> http://www.hydrairc.com <- Now with extra fish!]
pacak has quit [Ping timeout: 256 seconds]
pacak has joined #yosys
BinaryLust has quit [Ping timeout: 246 seconds]
az0re has quit [Remote host closed the connection]
BinaryLust has joined #yosys
FFY00 has quit [Remote host closed the connection]
BinaryLust has quit [Ping timeout: 260 seconds]
FFY00 has joined #yosys
dys has quit [Ping timeout: 258 seconds]
futarisIRCcloud has quit [Quit: Connection closed for inactivity]
Asu has joined #yosys
az0re has joined #yosys
dys has joined #yosys
jakobwenzel has joined #yosys
corecode has quit [Remote host closed the connection]
kraiskil has joined #yosys
corecode has joined #yosys
dxld has joined #yosys
kraiskil has quit [Ping timeout: 260 seconds]
kraiskil has joined #yosys
Ekho has quit [Quit: An alternate universe was just created where I didn't leave. But here, I left you. I'm sorry.]
vidbina has joined #yosys
Geza has joined #yosys
Ekho has joined #yosys
<Geza> Hi folks, I'm a bit of a newcomer to yosys, so apologies in advance for silly questions. I am trying to use it for some formal equivalence checking [via SymbiYosys] of some basic circuits. I can read in a gold and a gate design, and create a miter circuit, with "miter -equiv". All this works great, but I would like to add a few constraints, for
<Geza> example that the miter trigger only matters when an appropriate reset has been been performed. I can encode all these as assume/assert in a separate module that I can read in. My question is, is there a way to create an instance of this constraints module in the miter module? can use "add" and "connect" to add wires and connect everything up, but I
<Geza> can't find a way to programmatically create the constraints instance inside the yosys generated miter module.
<Geza> Any hits would be appreciated.
X-Scale has joined #yosys
<ZipCPU> Geza: I'm not following the question. How is it that you are not able to create the constraints?
<ZipCPU> Are you trying to use concurrent assertions or immediate assertions?
<Geza> I have some immediate assertions in a module I wrote (well, it's auto generated but it doesn't matter at this point), which I can read in with read_verilog
<Geza> but the miter circuit created by 'miter -equiv' is created in-memory by yosys
<Geza> so what I was hoping to do is add an instance of the hand written assertions module to the in-memory mite circuit, as in SV bind
<Geza> my problem is that I can't find a way to get yosys to do that last part, i was wondering whether it is possible
<Geza> I guess I could go "write_verilog foo.v; !sed -i .. foo.v; read_verilog foo.v" but my sense of self is protesting that idea :)
<ZipCPU> Why not create your own miter circuit?
<ZipCPU> SV bind isn't supported with the open version of Yosys
<Geza> I am using this to test a compiler that outputs Verilog, so I have hundreds of examples, I was hoping I would not have to roll my own miter circuit generator, but sound like that will be the way to go, thanks for the help regardless
<ZipCPU> Which "compiler" are you using that outputs Verilog?
<Geza> This one: https://github.com/ArgonDesign/alogic it's not quite ready for public release though :)
<tpb> Title: GitHub - ArgonDesign/alogic: Alogic is a Medium Level Synthesis language for digital logic that compiles swiftly into standard Verilog-2005 for implementation in ASIC or FPGA. (at github.com)
* ZipCPU takes a peek ...
futarisIRCcloud has joined #yosys
<ZipCPU> Neat!
<Geza> Thanks, if you feel bored, you can try www.alogic.app
<ZipCPU> I think if I were bored, I'd probably start by reading the documentation ... ;)
<Geza> Haha, that would probably help!
<ZipCPU> I suppose that's an unfortunate reality of any new project. The developer sees and is focused on the internal details of how it works, whereas the newcomer is focused instead on the user interface and how its similar or different to other tools they are already familiar with
dh73 has joined #yosys
BinaryLust has joined #yosys
dh73 has quit [Ping timeout: 264 seconds]
citypw has quit [Remote host closed the connection]
citypw has joined #yosys
vidbina has quit [Ping timeout: 246 seconds]
dh73 has joined #yosys
jfcaron has joined #yosys
<az0re> Geza: This is currently not so easy in Yosys. What I usually do is build my own miter. But what I have recently done for the `qbfsat` command is to add an `-assume-outputs` option, which makes it easy to make this kind of multi-condition miter by just making multiple outputs. Creating the logic to test the other constraints, though, like that reset has been high, requires you to manipulate the circuit. This can either be making your own miter
<az0re> generator, or you might implement that inside e.g. the `miter` pass as an option.
<az0re> Do you have lots of different constraints you might want to add, or they are all the same for all your tests?
emeb has joined #yosys
jakobwenzel has quit [Remote host closed the connection]
vidbina has joined #yosys
ZipCPU has quit [Quit: ZNC 1.6.4 - http://znc.in]
citypw has quit [Ping timeout: 240 seconds]
cr1901_modern has quit [Quit: Leaving.]
_whitelogger has joined #yosys
ZipCPU has joined #yosys
cr1901_modern has joined #yosys
dh73 has quit [Ping timeout: 260 seconds]
kraiskil has quit [Ping timeout: 260 seconds]
vidbina has quit [Ping timeout: 264 seconds]
vidbina has joined #yosys
DaKnig has quit [Quit: WeeChat 2.3]
DaKnig has joined #yosys
DaKnig is now known as DaKnig
dh73 has joined #yosys
jakobwenzel has joined #yosys
vidbina has quit [Ping timeout: 260 seconds]
Asuu has joined #yosys
Asu has quit [Ping timeout: 264 seconds]
jakobwenzel has quit [Quit: jakobwenzel]
Geza has quit [Remote host closed the connection]
jfcaron has quit [Ping timeout: 246 seconds]
Asuu has quit [Remote host closed the connection]
dh73 has quit [Ping timeout: 240 seconds]
az0re has quit [Ping timeout: 240 seconds]
_whitelogger has joined #yosys
az0re has joined #yosys