clifford changed the topic of #yosys to: Yosys Open SYnthesis Suite: http://www.clifford.at/yosys/ -- Channel Logs: https://irclog.whitequark.org/yosys
Ristovski has quit [Quit: 0]
mmicko_ has quit [Quit: leaving]
gorbak25 has quit [Ping timeout: 265 seconds]
gorbak25 has joined #yosys
sandeepkr has quit [Read error: Connection reset by peer]
kuldeep has quit [Read error: Connection reset by peer]
<peepsalot> hi. is it possible to use yosys with intel DE10-Nano boards? Cyclone V SE 5CSEBA6U23I7
<mwk> ZirconiumX:
<ZirconiumX> peepsalot: short answer: no. long answer: I'm working on it, and maybe soon we can.
<peepsalot> ok, i'm still absolute beginner to fpga, but more open source tools are very appealing to me :)
<peepsalot> ZirconiumX: do you own one? or is there anything I can help with testing?
<ZirconiumX> peepsalot: I'm at a stage where I can produce a netlist, but due to present Quartus incompatibilities (and bugs) you can't do anything with it
<ZirconiumX> I do indeed, as part of a MiSTer
<peepsalot> ah, nice, MiSTer is one of my main reasons for interest too
<ZirconiumX> Actually getting MiSTer to run on a purely-open toolchain is a long way off however
<peepsalot> ZirconiumX: do you work on any specific mister cores?
<ZirconiumX> I submitted a patch to Minimig
<ZirconiumX> But it got rejected because Sorgelig apparently can't read the Verilog LRM...
<peepsalot> :(
<ZirconiumX> Unfortunately even the most easily ported core - Minimig - is basically impractical to compile at present, because while the Amiga chipset is implemented in Verilog, the 68000 cores are all VHDL
<ZirconiumX> And Yosys has no native VHDL support
<ZirconiumX> There is an ongoing effort to use GHDL as a front-end module for VHDL, but that's beta-quality at best
<ZirconiumX> And requires an Ada toolchain that most people won't have by default
<peepsalot> i want to try to implement some vector display arcade stuff like battlezone etc.
<ZirconiumX> peepsalot: with all of that said, I would greatly appreciate whatever help you think you can offer.
<peepsalot> my dream goal is to interface with laser projector so I can put it on my ~200" display...a white wall in my house ;)
<ZirconiumX> That sounds wonderful
<peepsalot> its a little disappointing that the lead dev seems so hard to work with
<ZirconiumX> Yeah...
<peepsalot> but yeah as I said, i'm only absolute beginner to FPGA, so probably not much help to you. still trying to grasp the very basics of HDL etc. i've been a software programmer for many years though
* N2TOH just got his Spartan 6 board the other day
<ZirconiumX> peepsalot: much of what I'm working on is the software side of it all
<ZirconiumX> N2TOH: thank mwk for adding xc6s support to Yosys (using ISE as a backend)
<N2TOH> *nods*
<N2TOH> my JTAG adapter is sill in China so I need something
<N2TOH> my end use should be simple enough
<N2TOH> gonna use it as a memory manager for a 65C816
<N2TOH> I went with this FPGA cause it has enough IO
<N2TOH> never mind it has enough logic to IMPLEMENT the CPU I'm interfacing too!
N2TOH has quit [Ping timeout: 260 seconds]
N2TOH has joined #yosys
citypw has joined #yosys
Nazara has quit [Ping timeout: 268 seconds]
tlwoerner has joined #yosys
* N2TOH reads how to wire up an FTDI chip to this FPGA board
<peepsalot> can place and route be accelerated by solving ON an FPGA?
<OK_b00m3r> there are some papers on that iirc
<N2TOH> where is a list of known good JTAG interfaces?
peepsalot has quit [Read error: Connection reset by peer]
peepsalot has joined #yosys
peepsalot has quit [Quit: Connection reset by peep]
peepsalot has joined #yosys
lutsabound has quit [Quit: Connection closed for inactivity]
dys has quit [Ping timeout: 272 seconds]
FL4SHK has quit [Ping timeout: 240 seconds]
gorbak25 has quit [Ping timeout: 272 seconds]
gorbak25 has joined #yosys
FL4SHK has joined #yosys
<N2TOH> should getting Xilinx ISE be a tremendous pain to get running?
<tpb> Title: Imgur: The magic of the Internet (at imgur.com)
<peepsalot> well, i don't know specifically about xilinx, but I'm pretty sure there's its been mandated that all embedded tools be as cumbersome as imaginable
<N2TOH> I installed it and had it running, now I can't recall how to start it
kraiskil has quit [Ping timeout: 260 seconds]
az0re has joined #yosys
<N2TOH> HOA=Horribly Organized Assholes
strongsaxophone has joined #yosys
jojojojojo has joined #yosys
peepsalot has quit [Quit: Connection reset by peep]
Jybz has joined #yosys
jojojojojo has left #yosys ["WeeChat 2.6"]
dys has joined #yosys
peepsalot has joined #yosys
m4ssi has joined #yosys
jakobwenzel has joined #yosys
peepsalot has quit [Quit: Connection reset by peep]
Duality has quit [Ping timeout: 265 seconds]
peepsalot has joined #yosys
peepsalot has quit [Read error: Connection reset by peer]
<mwk> N2TOH: yes, ISE is a pain, that's normal
peepsalot has joined #yosys
<N2TOH> I seem to have forgotten how to get it started after installing and running it once
<N2TOH> that also seem normal when playing with ubuntu
N2TOH is now known as N2TOH_ZZz
chipb has quit [Read error: Connection reset by peer]
chipb_ has joined #yosys
kraiskil has joined #yosys
fsasm has joined #yosys
kraiskil has quit [Ping timeout: 272 seconds]
kraiskil has joined #yosys
Jybz has quit [Quit: Konversation terminated!]
stzsch has joined #yosys
alexhw has quit [Read error: Connection reset by peer]
X-Scale` has joined #yosys
alexhw has joined #yosys
X-Scale has quit [Ping timeout: 265 seconds]
X-Scale` is now known as X-Scale
strongsaxophone has quit [Quit: Lost terminal]
kraiskil has quit [Ping timeout: 240 seconds]
Jybz has joined #yosys
Jybz has quit [Quit: Konversation terminated!]
N2TOH_ZZz is now known as N2TOH
indy_ has joined #yosys
indy has quit [Ping timeout: 240 seconds]
indy_ has quit [Ping timeout: 240 seconds]
indy has joined #yosys
fsasm has quit [Ping timeout: 272 seconds]
m4ssi has quit [Remote host closed the connection]
rohitksingh has quit [Ping timeout: 260 seconds]
strongsaxophone has joined #yosys
citypw has quit [Ping timeout: 272 seconds]
rohitksingh has joined #yosys
X-Scale has quit [Ping timeout: 240 seconds]
X-Scale` has joined #yosys
X-Scale` is now known as X-Scale
marex-cloud has joined #yosys
fsasm has joined #yosys
dys has quit [Ping timeout: 240 seconds]
rohitksingh has quit [Ping timeout: 260 seconds]
rohitksingh has joined #yosys
strongsaxophone has quit [Remote host closed the connection]
rohitksingh has quit [Ping timeout: 265 seconds]
fsasm has quit [Ping timeout: 260 seconds]
rohitksingh has joined #yosys
rohitksingh has quit [Ping timeout: 260 seconds]
indy has quit [Ping timeout: 268 seconds]
indy has joined #yosys
indy has quit [Quit: ZNC - http://znc.sourceforge.net]
indy has joined #yosys
tpb has quit [Remote host closed the connection]
tpb has joined #yosys