clifford changed the topic of #yosys to: Yosys Open SYnthesis Suite: http://www.clifford.at/yosys/ -- Channel Logs: https://irclog.whitequark.org/yosys
dormito has joined #yosys
rohitksingh has joined #yosys
rohitksingh has quit [Ping timeout: 256 seconds]
emeb has quit [Quit: Leaving.]
X-Scale` has joined #yosys
X-Scale has quit [Ping timeout: 260 seconds]
X-Scale has joined #yosys
X-Scale` has quit [Ping timeout: 240 seconds]
strongsaxophone has quit [Remote host closed the connection]
citypw has joined #yosys
vidbina has joined #yosys
rohitksingh has joined #yosys
emeb_mac has quit [Quit: Leaving.]
rohitksingh has quit [Ping timeout: 255 seconds]
ZipCPU has joined #yosys
rohitksingh has joined #yosys
vidbina has quit [Ping timeout: 260 seconds]
citypw has quit [Ping timeout: 255 seconds]
citypw has joined #yosys
gromero has quit [Ping timeout: 256 seconds]
citypw has quit [Ping timeout: 265 seconds]
emeb_mac has joined #yosys
voxadam has quit [Remote host closed the connection]
citypw has joined #yosys
X-Scale` has joined #yosys
X-Scale has quit [Ping timeout: 255 seconds]
X-Scale` is now known as X-Scale
MoeIcenowy has quit [Quit: ZNC 1.7.2+deb3 - https://znc.in]
MoeIcenowy has joined #yosys
kbeckmann has quit [Ping timeout: 260 seconds]
rohitksingh has quit [Ping timeout: 240 seconds]
nurelin_ has joined #yosys
nurelin has quit [Ping timeout: 260 seconds]
rohitksingh has joined #yosys
rohitksingh has quit [Ping timeout: 240 seconds]
emeb_mac has quit [Quit: Leaving.]
Jybz has joined #yosys
rohitksingh has joined #yosys
az0re has quit [Remote host closed the connection]
m4ssi has joined #yosys
dys has joined #yosys
dormito has quit [Ping timeout: 265 seconds]
dormito has joined #yosys
thardin has joined #yosys
<thardin> has anyone tried to make red pitaya cores with yosys?
<thardin> did a bit of searching around, but no relevant hits
<dys> uuh, i don't think it supports Xilinx hardware? The Pitaya has a ZynC SoC…
<thardin> uh I'm fairly sure I read it uses artix-7
<thardin> maybe I read wrong tho
<daveshah> There is some Zynq support with nextpnr-xilinx but probably not enough atm
<dys> ooh, I seem to have missed the recent developments :-)
<daveshah> Please don't actually try and use it :)
<daveshah> It's very much hacker grade than end user grade atm
<dys> ja, I guess the interfacing between the arm and the FPGA fabric on the SoC will still be a major problem even if the the fabric itself is supported
<daveshah> That should work but has seen limited testing
<daveshah> It's not very hard at all, just a load of wires
twnqx has joined #yosys
<dys> I was also thinking of the software-side. e.g. kernel drivers. what's a DMA IP core in the xilinx manual and a matching driver may need to be reimplemented in a compatible manner
<daveshah> Oh yeah that's definitely something that needs to be opened up
<daveshah> Particularly the BSP generator
<daveshah> Stuff like dram init is doubtless super fun
<tnt> I thought there was a free/oss fsbl for the zynq now ?
<daveshah> Oh not sure, I haven't looked at zynq stuff for a little while
<tnt> ah yeah, u-boot spl seems to be enough now.
<daveshah> I think when I did zynq stuff building the fsbl still required some BSP outputs from Vivado
<daveshah> > In order to replace the FSBL, U-Boot SPL requires to initialize the processor system using the hardware specific initialization code (ps7_init_gpl). These files are part of the Hardware Description File (HDF) created by the Vivado tool when exporting the design, and needs to be placed in the board folder within U-Boot code. 
<tnt> mmm, yup :/
rohitksingh has quit [Ping timeout: 256 seconds]
Jybz has quit [Read error: Connection reset by peer]
Jybz has joined #yosys
nanor00032 has joined #yosys
captain_morgan has quit [Quit: Ping timeout (120 seconds)]
captain_morgan has joined #yosys
<nanor00032> questions about tcl support in yosys :
<nanor00032> 1) Is there a way to get a tcl shell to be able to work interactively in TCL (I'm using successfully TCL scripts already) ?2) IS there a native way to redirect the output of a command to a file ? (something like the 'redirect' command available in some tools)
voxadam has joined #yosys
dormito has quit [Ping timeout: 268 seconds]
<attie> Would yosys' `tee` command count as native?
<attie> I'm not sure how/if that would work in tcl though, I've never used the tcl script interface...
<nanor00032> Thanks ! I completly missed the tee command - I'm currently trying it - seems to work as expected in tcl mode
dormito has joined #yosys
bwidawsk has quit [Quit: Always remember, and never forget; I'll be back.]
bwidawsk has joined #yosys
pie_[bnc] has quit [Remote host closed the connection]
forrestv has quit [Ping timeout: 265 seconds]
proteusguy has quit [Ping timeout: 265 seconds]
m_hackerfoo has quit [Ping timeout: 265 seconds]
pacak has quit [Ping timeout: 265 seconds]
proteusguy has joined #yosys
m_hackerfoo has joined #yosys
pacak has joined #yosys
forrestv has joined #yosys
dys has quit [Ping timeout: 256 seconds]
dys has joined #yosys
FabM has quit [Quit: Leaving]
emeb has joined #yosys
porglezomp has joined #yosys
finnb has left #yosys ["The Lounge - https://thelounge.chat"]
dys has quit [Ping timeout: 240 seconds]
develonepi3 has joined #yosys
<cr1901_modern> daveshah: While it's on my mind, do you know when the pmgen tool was introduced? I found out a few days ago that my yosys compiled from about 1.5 months ago doesn't have it
<daveshah> It was about this time last year
strubi has joined #yosys
nanor00032 has quit [Remote host closed the connection]
<cr1901_modern> ... huh. I wonder why mine doesn't have it (answer: b/c Windoze, but I should still check/fix it)
dys has joined #yosys
citypw has quit [Ping timeout: 240 seconds]
<porglezomp> Hey, are attribute names decorated somehow? Like do they get the \ or $ prefixes?
<cr1901_modern> They shouldn't be... I have an example of JSON output, lemme check
<porglezomp> Oh, it looks like they might internally. Let's see what the ID() macro does
<cr1901_modern> Oh, internally... I don't know :(
<porglezomp> Looks like it
cr1901_modern has quit [Disconnected by services]
cr1901_modern has joined #yosys
<cr1901_modern> Well interesting... TIL
* cr1901_modern feels like he's been saying "I don't know" a lot lately
vidbina has joined #yosys
m4ssi has quit [Remote host closed the connection]
vidbina has quit [Ping timeout: 260 seconds]
<ZirconiumX> porglezomp: I think you're actually looking for NEW_ID instead of ID.
umbSublime has joined #yosys
umbSublime has left #yosys ["Leaving"]
dys has quit [Ping timeout: 256 seconds]
<emily> fantastic naming
rohitksingh has joined #yosys
<porglezomp> ZirconiumX: In this case, I need ID for indexing into the attributes map.
rohitksingh has quit [Ping timeout: 256 seconds]
vidbina has joined #yosys
rohitksingh has joined #yosys
az0re has joined #yosys
<mwk> hi, I'm looking for some brave soul who knows EDIF
<mwk> if I have two nets, one is `assign`ed to the other in Verilog, and both have the `keep` attribute, is there some way to represent that in EDIF? (see the example in https://github.com/YosysHQ/yosys/issues/1733#issuecomment-594922346 )
<mwk> or, really, one net with two names
rohitksingh has quit [Ping timeout: 255 seconds]
rohitksingh has joined #yosys
rohitksingh has quit [Ping timeout: 260 seconds]
<tnt> mwk: does "keep" really have to keep the net name ? I thought that net just had to exist physically but not necessarely have the verilog signal name ...
<mwk> well, the point is usually to refer to that net in eg. a constraint
<ZirconiumX> To be honest the way *I* would satisfy it is that since A and B are aliased you can pick one and still have the node without the multiple driver problem
vup has quit [Ping timeout: 268 seconds]
vup has joined #yosys
vidbina has quit [Ping timeout: 260 seconds]
vidbina has joined #yosys
rohitksingh has joined #yosys
dys has joined #yosys
rohitksingh has quit [Ping timeout: 256 seconds]
vidbina has quit [Ping timeout: 255 seconds]
vidbina has joined #yosys
<strubi> I
<strubi> Would the 'keep' keyword just prevent the wire from being optimized away?
<strubi> Wouldn't.
emeb_mac has joined #yosys
<ZirconiumX> The problem is that the wires are aliased
<strubi> Sounds like a clash to me then..but I only know the VHDL side
<strubi> while at identifiers: Anyone debugging using GTKwave? I see funny things when not using public names (like "$gnagna")
vidbina has quit [Ping timeout: 255 seconds]
tlwoerner has quit [Quit: Leaving]
dys has quit [Ping timeout: 255 seconds]
tlwoerner has joined #yosys
<porglezomp> What's the recommended way to distribute extensions? As a hack I'm installing them into a directory under the yosys data dir so that it can find its files with +/<extension>/<stuff> paths. Is that fine?
emeb_mac has quit [*.net *.split]
captain_morgan has quit [*.net *.split]
ZirconiumX has quit [*.net *.split]
tmichalak has quit [*.net *.split]
chipb has quit [*.net *.split]
oldtopman has quit [*.net *.split]
blunaxela has quit [*.net *.split]
thasti has quit [*.net *.split]
hexagon5un has quit [*.net *.split]
tmichalak has joined #yosys
ZirconiumX has joined #yosys
oldtopman has joined #yosys
chipb has joined #yosys
thasti has joined #yosys
hexagon5un has joined #yosys
blunaxela has joined #yosys
emeb_mac has joined #yosys
captain_morgan has joined #yosys
az0re has quit [Ping timeout: 240 seconds]
rohitksingh has joined #yosys
<cr1901_modern> The honest answer in my experience is that "most ppl don't actually make yosys plugins and just hack directly on yosys". But at least the above two plugins seems to be consistent in install procedure
<porglezomp> Ok, nice, just need a slightly deeper subdir.
dormito has quit [Ping timeout: 256 seconds]
<porglezomp> Ah nice, now I can just do yosys -m nangate and things work!
<cr1901_modern> great :D!
rohitksingh has quit [Ping timeout: 255 seconds]
strubi has quit [Ping timeout: 268 seconds]
az0re has joined #yosys
develonepi3 has quit [Remote host closed the connection]
<porglezomp> Anyone who's used nextpnr: should "Running main analytical placer" be taking a really long time with no progress indicators?
<porglezomp> I haven't had anything printed since that showed up in the past 20 minutes
<mwk> it should have progress indicators
<mwk> it can take some time, but not more than a minute I think?
<mwk> it does one status line per (iteration, cell/bel type) combo
rohitksingh has joined #yosys
Thorn has quit [Ping timeout: 260 seconds]
emeb has quit [Quit: Leaving.]