clifford changed the topic of #yosys to: Yosys Open SYnthesis Suite: http://www.clifford.at/yosys/ -- Channel Logs: https://irclog.whitequark.org/yosys
cr1901_modern has quit [Quit: Leaving.]
Cerpin has quit [Quit: leaving]
doug16k has joined #yosys
Degi has quit [Ping timeout: 256 seconds]
Degi has joined #yosys
emeb has quit [Quit: Leaving.]
tlwoerner has quit [Quit: Leaving]
cr1901_modern has joined #yosys
citypw has joined #yosys
tlwoerner has joined #yosys
doug16k has quit [Ping timeout: 264 seconds]
doug16k has joined #yosys
_whitelogger has joined #yosys
_whitelogger has joined #yosys
adjtm_ has quit [Remote host closed the connection]
adjtm_ has joined #yosys
awygle has joined #yosys
emeb_mac has quit [Quit: Leaving.]
jakobwenzel has joined #yosys
proteusguy has quit [Remote host closed the connection]
proteusguy has joined #yosys
az0re has quit [Ping timeout: 240 seconds]
adjtm_ has quit [Remote host closed the connection]
adjtm_ has joined #yosys
jakobwenzel has quit [Ping timeout: 246 seconds]
jakobwenzel has joined #yosys
_whitelogger has joined #yosys
doug16k has quit [Read error: No route to host]
jakobwenzel has quit [Ping timeout: 272 seconds]
N2TOH_ has joined #yosys
N2TOH has quit [Ping timeout: 240 seconds]
voxadam has quit [Read error: Connection reset by peer]
voxadam has joined #yosys
develonepi3 has joined #yosys
futarisIRCcloud has quit [Quit: Connection closed for inactivity]
adjtm_ has quit [Remote host closed the connection]
adjtm_ has joined #yosys
futarisIRCcloud has joined #yosys
emeb has joined #yosys
Wolf481pl has joined #yosys
Wolf480pl has quit [Excess Flood]
jfcaron has joined #yosys
GenTooMan has joined #yosys
<ZipCPU> daveshah: Have you seen www.reddit.com/r/yosys today?
<daveshah> ZipCPU: no, thanks, I've replied
<ZipCPU> Thanks!
citypw has quit [Ping timeout: 240 seconds]
futarisIRCcloud has quit [Quit: Connection closed for inactivity]
dh73 has joined #yosys
N2TOH has joined #yosys
N2TOH_ has quit [Ping timeout: 260 seconds]
N2TOH has quit [Ping timeout: 260 seconds]
N2TOH has joined #yosys
N2TOH has quit [Ping timeout: 256 seconds]
N2TOH has joined #yosys
Cerpin has joined #yosys
N2TOH has quit [Ping timeout: 265 seconds]
N2TOH has joined #yosys
cyrozap has quit [Ping timeout: 258 seconds]
cyrozap has joined #yosys
dh73 has quit [Quit: Leaving.]
dh73 has joined #yosys
develonepi3 has quit [Ping timeout: 240 seconds]
N2TOH has quit [Ping timeout: 256 seconds]
N2TOH has joined #yosys
klotz_ has quit [Ping timeout: 240 seconds]
N2TOH_ has joined #yosys
N2TOH has quit [Ping timeout: 250 seconds]
klotz_ has joined #yosys
<jfcaron> Is there an easily recommended Verilog learning resource (e.g. textbook) that is either free or likely to be in my university's library?
<jfcaron> I sort of know the concepts, but the syntax still escapes me.
N2TOH has joined #yosys
N2TOH_ has quit [Ping timeout: 258 seconds]
<somlo> in their digital design course sequence; and yeah, it's "system" verilog, but from a beginner's perspective it's just a bit of extra syntactic sugar on top of verilog :)
<jfcaron> When people say "Verilog" nowadays do they pretty much always mean SystemVerilog?
<somlo> not in my experience
<jfcaron> So in the case of the iceStorm toolchain for the Lattice ice40, when they say they support Verilog synthesis it means...?
<daveshah> Verilog and small subset of systemverilog :(
<sorear> it means they don't support any of the features that were added after Verilog was renamed SystemVerilog
<jfcaron> Ah. So I probably shouldn't learn from a SystemVerilog-specific book at the moment, I think.
<daveshah> It's probably not too much of a problem
<somlo> my point was that it won't "brain damage" you for "normal" verilog :)
<somlo> I remember when taking digital design courses a number of years back I was looking for a good reference book, and had a surprisingly hard time. So the one I quoted isn't too bad, but I'd also like to know if any other good ones have come out recently
N2TOH_ has joined #yosys
N2TOH has quit [Ping timeout: 260 seconds]
emeb_mac has joined #yosys
gtw has joined #yosys
<gtw> Hello, everybody!
<ZirconiumX> Hi
<gtw> I'm thrilled right now because I finally got my homebrew ECP5 board back from the factory, and half an hour later it is happily blinking away on its first config... worked first time with no magic smoke out :D
<daveshah> Nice! Any particular purpose for your board or just a general dev board?
jfcaron has quit [Ping timeout: 264 seconds]
<gtw> I'm so happy I can almost overlook the fact that US customs charged me $280 in tariffs because apparently now importing from China falls into the 35% duty rate for "electronic circuit assemblies" :( Would have been pretty upset to pay all that overhead and then find I'd shorted VCC to GND on a middle layer!
<ZirconiumX> *yikes*
<gtw> General dev board... got Ethernet, SRAM, DDR3, handful of USB host and dev ports, SD card, and a basic amateur radio transceiver.
<daveshah> Very nice
<daveshah> What is the amateur radio transceiver topology?
<gtw> I'm hugely grateful to you and the other yosys/Trellis folks for getting all the hard work so mature! Everything worked perfectly the first time!
<gtw> What topology do you mean... high level modulation, or circuit topology?
<ZirconiumX> Trellis is almost all daveshah as far as I can tell
<daveshah> Thanks!
<daveshah> Circuit topology - is it direct conversion or do you have a mixer?
<gtw> It's basically just the datasheet example for the Si4463, with a front end for 146 MHz.
<gtw> Oh, it uses the Si4463's mixer.
<gtw> It's a single conversion RX stage. For TX it's direct synthesis I think.
N2TOH has joined #yosys
N2TOH_ has quit [Ping timeout: 256 seconds]
peeps[zen] has joined #yosys
jfcaron_ has joined #yosys
peepsalot has quit [Ping timeout: 260 seconds]
gtw has quit [Remote host closed the connection]
gtw has joined #yosys
jfcaron_ has quit [Ping timeout: 250 seconds]
peeps[zen] is now known as peepsalot
kmehall has quit [Remote host closed the connection]
kmehall has joined #yosys
N2TOH_ has joined #yosys
N2TOH has quit [Ping timeout: 250 seconds]
futarisIRCcloud has joined #yosys
X-Scale has quit [Ping timeout: 258 seconds]
X-Scale` has joined #yosys
X-Scale` is now known as X-Scale
emeb has quit [Quit: Leaving.]