ChanServ changed the topic of #nmigen to: nMigen hardware description language · code at https://github.com/nmigen · logs at https://freenode.irclog.whitequark.org/nmigen · IRC meetings each Monday at 1800 UTC · next meeting September 14th
emeb has quit [Quit: Leaving.]
<_whitenotifier-f> [YoWASP/nextpnr] whitequark pushed 1 commit to develop [+0/-0/±1] https://git.io/JUlkj
<_whitenotifier-f> [YoWASP/nextpnr] whitequark 936ee88 - Update dependencies.
emeb_mac has joined #nmigen
BracketMaster1 has quit [Remote host closed the connection]
<_whitenotifier-f> [YoWASP/yosys] whitequark pushed 1 commit to develop [+0/-0/±2] https://git.io/JUltC
<_whitenotifier-f> [YoWASP/yosys] whitequark 1488dc3 - Update dependencies.
zignig has joined #nmigen
d0nker5_ has quit [Remote host closed the connection]
jaseg has quit [Ping timeout: 264 seconds]
jaseg has joined #nmigen
PyroPeter_ has joined #nmigen
electronic_eel has quit [Ping timeout: 258 seconds]
electronic_eel has joined #nmigen
PyroPeter has quit [Ping timeout: 246 seconds]
PyroPeter_ is now known as PyroPeter
Degi has quit [Ping timeout: 256 seconds]
Degi has joined #nmigen
cr1901_modern has quit [Quit: Leaving.]
cr1901_modern has joined #nmigen
_whitelogger has joined #nmigen
emeb_mac has quit [Quit: Leaving.]
ianloic_ has quit [Ping timeout: 244 seconds]
ianloic_ has joined #nmigen
cesar[m] has quit [Ping timeout: 244 seconds]
jfng has quit [Ping timeout: 244 seconds]
cesar[m] has joined #nmigen
emily has quit [Ping timeout: 240 seconds]
jfng has joined #nmigen
chipmuenk has joined #nmigen
chipmuenk has quit [Client Quit]
emily has joined #nmigen
Asu has joined #nmigen
d0nker5 has joined #nmigen
emeb has joined #nmigen
<miek> whitequark: i was reading your thread on framing options from a while ago, did you come to a conclusion on what to use?
cr1901_modern has quit [Ping timeout: 240 seconds]
cr1901_modern has joined #nmigen
chipmuenk has joined #nmigen
chipmuenk has quit [Quit: chipmuenk]
pdp7 has quit [Ping timeout: 256 seconds]
pdp7 has joined #nmigen
Asu has quit [Quit: Konversation terminated!]
emeb_mac has joined #nmigen
chipmuenk has joined #nmigen
chipmuenk has quit [Quit: chipmuenk]
<whitequark> miek: context?
<whitequark> framing as in COBS?
<miek> yeah
<miek> i have a similar situation where i have a stream of bytes to push over USB, and i want to add a delimiter at any time
jeanthom has joined #nmigen
<whitequark> hmm
<whitequark> so for glasgow, a nicer solution would be to actually use the 1st byte as the "sub-endpoint" index
<whitequark> since USB is actually packetized
jeanthom has quit [Ping timeout: 244 seconds]
jeanthom has joined #nmigen
emeb has quit [Quit: Leaving.]
<cr1901_modern> whitequark: I didn't break anything (for once), but... have any idea why this is failing in each PR? https://github.com/nmigen/nmigen-boards/runs/950041381#step:5:30
<cr1901_modern> the module exists...
<whitequark> cr1901_modern: it's grabbing a previous version of nmigen from pypi
<whitequark> this will be fixed once i finally release